OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 154

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 151 jguarin200
use work.arithpack.all;
26 134 jguarin200
 
27 123 jguarin200
entity dpc is
28 152 jguarin200
 
29 122 jguarin200
        port (
30 143 jguarin200
                clk,rst                                 : in    std_logic;
31 152 jguarin200
                paraminput                              : in    std_logic_vector ((12*floatwidth)-1 downto 0);   --! Vectores A,B,C,D
32
                prd32blko                               : in    std_logic_vector ((06*floatwidth)-1 downto 0);   --! Salidas de los 6 multiplicadores.
33
                add32blko                               : in    std_logic_vector ((04*floatwidth)-1 downto 0);   --! Salidas de los 4 sumadores.
34
                sqr32blko,inv32blko             : in    std_logic_vector (floatwidth-1 downto 0);                --! Salidas de la raiz cuadradas y el inversor.
35
                fifo32x23_q                             : in    std_logic_vector (03*floatwidth-1 downto 0);             --! Salida de la cola intermedia.
36
                fifo32x09_q                             : in    std_logic_vector (02*floatwidth-1 downto 0);     --! Salida de las colas de producto punto. 
37 127 jguarin200
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
38 152 jguarin200
                sync_chain_0                    : in    std_logic;                                                                      --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
39
                eoi_int                                 : in    std_logic;                                                                      --! Se&ntilde;al de interrupci&oacute;n de final de instrucci&oacute;n.
40
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Se&ntilde;al de interrupci&oacute;n de final de instrucci&oacute;n pero esta vez va asociada a la instrucc&oacute;n UCA.
41
                sqr32blki,inv32blki             : out   std_logic_vector (floatwidth-1 downto 0);                --! Salidas de las 2 raices cuadradas y los 2 inversores.
42
                fifo32x26_d                             : out   std_logic_vector (03*floatwidth-1 downto 0);             --! Entrada a la cola intermedia para la normalizaci&oacute;n.
43
                fifo32x09_d                             : out   std_logic_vector (02*floatwidth-1 downto 0);             --! Entrada a las colas intermedias del producto punto.         
44
                prd32blki                               : out   std_logic_vector ((12*floatwidth)-1 downto 0);   --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
45
                add32blki                               : out   std_logic_vector ((08*floatwidth)-1 downto 0);   --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
46 149 jguarin200
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
47
                fifo32x09_w                             : out   std_logic;
48 143 jguarin200
                fifo32x23_w,fifo32x09_r : out   std_logic;
49
                fifo32x23_r                             : out   std_logic;
50 150 jguarin200
                resf_vector                             : in    std_logic_vector (3 downto 0);                           --! Entradas de la se&ntilde;al de full de las colas de resultados. 
51
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operaci&oacute;n que est&aacute; en curso.
52 152 jguarin200
                resultoutput                    : out   std_logic_vector ((08*floatwidth)-1 downto 0)    --! 8 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
53 122 jguarin200
        );
54 153 jguarin200
end entity;
55 122 jguarin200
 
56 123 jguarin200
architecture dpc_arch of dpc is
57 125 jguarin200
 
58
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
59 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
60
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
61
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
62
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
63
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
64 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
65
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
66 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
67 127 jguarin200
 
68 124 jguarin200
        constant dpfifoab : integer := 00;
69
        constant dpfifocd : integer := 01;
70
 
71 122 jguarin200
 
72
 
73 127 jguarin200
 
74 143 jguarin200
 
75 152 jguarin200
 
76 127 jguarin200
        signal sparaminput,sfactor                      : vectorblock12;
77
        signal ssumando,sresult                         : vectorblock08;
78
        signal sprd32blk                                        : vectorblock06;
79
        signal sadd32blk                                        : vectorblock04;
80 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
81 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
82 152 jguarin200
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);
83 142 jguarin200
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
84 143 jguarin200
        signal ssync_chain_d                            : std_logic;
85 149 jguarin200
        signal sres567w,sres123w,sres2w         : std_logic;
86
        signal sres0w,sres4w                            : std_logic;
87 150 jguarin200
        signal sres567f,sres123f                        : std_logic; --! Entradas de la se&ntilde;al de full de las colas de resultados. 
88
        signal sres24f,sres0f                           : std_logic;
89 143 jguarin200
 
90
 
91 140 jguarin200
 
92 151 jguarin200
 
93 123 jguarin200
begin
94 122 jguarin200
 
95 142 jguarin200
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
96 145 jguarin200
        ssync_chain(0) <= sync_chain_0;
97 140 jguarin200
        sync_chain_proc:
98
        process(clk,rst)
99
        begin
100
                if rst=rstMasterValue then
101 145 jguarin200
                        ssync_chain(28 downto 1) <= (others => '0');
102 140 jguarin200
                elsif clk'event and clk='1' then
103 142 jguarin200
                        for i in 28 downto 1 loop
104
                                ssync_chain(i) <= ssync_chain(i-1);
105 140 jguarin200
                        end loop;
106
                end if;
107
        end process sync_chain_proc;
108 144 jguarin200
 
109 140 jguarin200
        --! Escritura en las colas de resultados y escritura/lectura en las colas intermedias mediante cadena de resultados.
110 143 jguarin200
        fifo32x09_w <= ssync_chain(5);
111
        fifo32x23_w <= ssync_chain(1);
112
        fifo32x09_r <= ssync_chain(13);
113
        fifo32x23_r <= ssync_chain(24);
114 149 jguarin200
        sres0w  <= ssync_chain(23);
115
        sres4w  <= ssync_chain(22);
116
        resw    <= sres567w&sres4w&sres123w&sres2w&sres0w;
117 140 jguarin200
        sync_chain_comb:
118 142 jguarin200
        process (ssync_chain,addsub,crossprod,unary)
119 140 jguarin200
        begin
120
                if unary='1' then
121 149 jguarin200
                        sres567w <= ssync_chain(28);
122 140 jguarin200
                else
123 149 jguarin200
                        sres567w <= ssync_chain(4);
124 140 jguarin200
                end if;
125 127 jguarin200
 
126 140 jguarin200
                if addsub='1' then
127 149 jguarin200
                        sres123w <= ssync_chain(9);
128
                        sres2w <= ssync_chain(9);
129 140 jguarin200
                else
130 149 jguarin200
                        sres123w <= ssync_chain(13);
131 140 jguarin200
                        if crossprod='1' then
132 149 jguarin200
                                sres2w <= ssync_chain(13);
133 140 jguarin200
                        else
134 149 jguarin200
                                sres2w <= ssync_chain(22);
135 140 jguarin200
                        end if;
136
                end if;
137
        end process sync_chain_comb;
138
 
139
 
140
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, simplemente son abstracciones a nivel de c&oacute;digo y no representar&aacute; cambios en la s&iacute;ntesis.
141 123 jguarin200
        stuff12:
142
        for i in 11 downto 0 generate
143 152 jguarin200
                sparaminput(i) <= paraminput(i*floatwidth+floatwidth-1 downto i*floatwidth);
144
                prd32blki(i*floatwidth+floatwidth-1 downto i*floatwidth) <= sfactor(i);
145 127 jguarin200
        end generate stuff12;
146
        stuff08:
147
        for i in 07 downto 0 generate
148 152 jguarin200
                add32blki(i*floatwidth+floatwidth-1 downto i*floatwidth) <= ssumando(i);
149
                resultoutput(i*floatwidth+floatwidth-1 downto i*floatwidth) <= sresult(i);
150 127 jguarin200
        end generate stuff08;
151 138 jguarin200
        stuff04:
152 148 jguarin200
        for i in 02 downto 1 generate
153 152 jguarin200
                sadd32blk(i)  <= add32blko(i*floatwidth+floatwidth-1 downto i*floatwidth);
154 138 jguarin200
        end generate stuff04;
155
 
156
 
157 124 jguarin200
        stuff03:
158
        for i in 02 downto 0 generate
159 152 jguarin200
                snormfifo_q(i) <= fifo32x23_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
160
                fifo32x26_d(i*floatwidth+floatwidth-1 downto i*floatwidth) <= snormfifo_d(i);
161 124 jguarin200
        end generate stuff03;
162
 
163 123 jguarin200
        stuff02:
164 127 jguarin200
        for i in 01 downto 0 generate
165 152 jguarin200
                sdpfifo_q(i)  <= fifo32x09_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
166 123 jguarin200
        end generate stuff02;
167 140 jguarin200
 
168
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, son abstracciones de c&oacute;digo tambi&eacute;n, sin embargo se realizan a trav&eacute;s de registros. 
169
        register_products_outputs:
170
        process (clk)
171
        begin
172
                if clk'event and clk='1' then
173
                        for i in 05 downto 0 loop
174 152 jguarin200
                                sprd32blk(i)  <= prd32blko(i*floatwidth+floatwidth-1 downto i*floatwidth);
175 140 jguarin200
                        end loop;
176
                end if;
177
        end process;
178
        --! Los productos del multiplicador 2 y 3, ya registrados dentro de dpc van a la cola intermedia del producto punto (fifo32x09_d)
179 148 jguarin200
        --! Los unicos resultados de sumandos que de nuevo entran al DataPathControl (observar la pesta&ntilde;a del documento de excel) 
180
 
181 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
182 140 jguarin200
        register_adder0_and_inversor_output:
183
        process (clk)
184
        begin
185
                if clk'event and clk='1' then
186 152 jguarin200
                        sadd32blk(a0) <= add32blko(a0*floatwidth+floatwidth-1 downto a0*floatwidth);
187
                        sadd32blk(aa) <= add32blko(aa*floatwidth+floatwidth-1 downto aa*floatwidth);
188 140 jguarin200
                        sinv32blk <= inv32blko;
189
                end if;
190
        end process;
191 122 jguarin200
 
192 127 jguarin200
 
193
 
194 140 jguarin200
 
195 144 jguarin200
        --! Raiz Cuadrada.
196 127 jguarin200
        ssqr32blk <= sqr32blko;
197
 
198 136 jguarin200
        --! Colas de salida de los distintos resultados;
199 127 jguarin200
        sresult(0) <= ssqr32blk;
200
        sresult(1) <= sadd32blk(a0);
201
        sresult(2) <= sadd32blk(a1);
202
        sresult(3) <= sadd32blk(a2);
203
        sresult(4) <= sadd32blk(aa);
204
        sresult(5) <= sprd32blk(p3);
205
        sresult(6) <= sprd32blk(p4);
206
        sresult(7) <= sprd32blk(p5);
207
 
208
        --! Cola de normalizacion
209
        snormfifo_d(qx) <= sparaminput(ax);
210
        snormfifo_d(qy) <= sparaminput(ay);
211
        snormfifo_d(qz) <= sparaminput(az);
212
 
213
 
214
 
215 136 jguarin200
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
216
        inv32blki <= sqr32blko;
217 139 jguarin200
        --! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
218 136 jguarin200
        sqr32blki <= sadd32blk(a1);
219 127 jguarin200
 
220
 
221 136 jguarin200
 
222
        --! Conectar las entradas del sumador a, a la salida 
223
        ssumando(s6) <= sadd32blk(a2);
224
        ssumando(s7) <= sdpfifo_q(dpfifocd);
225
 
226 144 jguarin200
        --!El siguiente proceso conecta la se&ntilde;al de cola "casi llena", de la cola que corresponde al resultado de la operaci&oacute;n indicada por los bit UCA (Unary, Crossprod, Addsub).
227 150 jguarin200
        sres0f          <= resf_vector(0);
228
        sres123f        <= resf_vector(1);
229
        sres24f         <= resf_vector(2);
230
        sres567f        <= resf_vector(3);
231
        fullQ:process(sres0f,sres123f,sres24f,sres567f,unary,crossprod,addsub,eoi_int)
232 143 jguarin200
        begin
233
                if unary='0' then
234 147 jguarin200
                        if crossprod='1' or addsub='1' then
235
                                eoi_demuxed_int <= "00"&eoi_int&'0';
236 150 jguarin200
                                resf_event <= sres123f;
237 143 jguarin200
                        else
238 147 jguarin200
                                eoi_demuxed_int <= '0'&eoi_int&"00";
239 150 jguarin200
                                resf_event <= sres24f;
240 143 jguarin200
                        end if;
241
                elsif crossprod='1' or addsub='1' then
242 147 jguarin200
                        eoi_demuxed_int <= eoi_int&"000";
243 150 jguarin200
                        resf_event <= sres567f;
244 143 jguarin200
                else
245 147 jguarin200
                        eoi_demuxed_int <= "000"&eoi_int;
246 150 jguarin200
                        resf_event <= sres0f;
247 143 jguarin200
                end if;
248
        end process;
249
 
250 144 jguarin200
        --! Decodificaci&oacute;n del Datapath.
251 142 jguarin200
        mul:process(unary,addsub,crossprod,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
252 123 jguarin200
        begin
253 124 jguarin200
 
254 142 jguarin200
                sfactor(f4) <= sparaminput(az);
255 127 jguarin200
                if unary='1' then
256
                        --! Magnitud y normalizacion
257
                        sfactor(f0) <= sparaminput(ax);
258
                        sfactor(f1) <= sparaminput(ax);
259
                        sfactor(f2) <= sparaminput(ay);
260
                        sfactor(f3) <= sparaminput(ay);
261 142 jguarin200
 
262 127 jguarin200
                        sfactor(f5) <= sparaminput(az);
263 142 jguarin200
                        if crossprod='1' and addsub='1' then
264
                                sfactor(f6) <= sparaminput(cx);
265
                                sfactor(f7) <= sparaminput(dx);
266
                                sfactor(f8) <= sparaminput(cy);
267
                                sfactor(f9) <= sparaminput(dx);
268
                                sfactor(f10) <= sparaminput(cz);
269
                                sfactor(f11) <= sparaminput(dx);
270
                        else
271
                                sfactor(f6) <= snormfifo_q(ax);
272
                                sfactor(f7) <= sinv32blk;
273
                                sfactor(f8) <= snormfifo_q(ay);
274
                                sfactor(f9) <= sinv32blk;
275
                                sfactor(f10) <= snormfifo_q(az);
276
                                sfactor(f11) <= sinv32blk;
277
                        end if;
278
 
279
 
280
                elsif addsub='0' then
281
                        --! Solo productos punto o cruz
282
                        if crossprod='1' then
283
 
284
                                sfactor(f0) <= sparaminput(ay);
285
                                sfactor(f1) <= sparaminput(bz);
286
                                sfactor(f2) <= sparaminput(az);
287
                                sfactor(f3) <= sparaminput(by);
288
 
289
                                sfactor(f5) <= sparaminput(bx);
290
                                sfactor(f6) <= sparaminput(ax);
291
                                sfactor(f7) <= sparaminput(bz);
292
                                sfactor(f8) <= sparaminput(ax);
293
                                sfactor(f9) <= sparaminput(by);
294
                                sfactor(f10) <= sparaminput(ay);
295
                                sfactor(f11) <= sparaminput(bx);
296
 
297
                        else
298
 
299
                                sfactor(f0) <=  sparaminput(ax) ;
300
                                sfactor(f1) <=  sparaminput(bx) ;
301
                                sfactor(f2) <=  sparaminput(ay) ;
302
                                sfactor(f3) <=  sparaminput(by) ;
303
                                sfactor(f5) <=  sparaminput(bz) ;
304
                                sfactor(f6) <=  sparaminput(cx) ;
305
                                sfactor(f7) <=  sparaminput(dx) ;
306
                                sfactor(f8) <=  sparaminput(cy) ;
307
                                sfactor(f9) <=  sparaminput(dy) ;
308
                                sfactor(f10) <= sparaminput(cz) ;
309
                                sfactor(f11) <= sparaminput(dz) ;
310
                        end if;
311
 
312 127 jguarin200
                else
313
                        sfactor(f0) <=  sparaminput(ax) ;
314
                        sfactor(f1) <=  sparaminput(bx) ;
315
                        sfactor(f2) <=  sparaminput(ay) ;
316
                        sfactor(f3) <=  sparaminput(by) ;
317
                        sfactor(f5) <=  sparaminput(bz) ;
318
                        sfactor(f6) <=  sparaminput(cx) ;
319
                        sfactor(f7) <=  sparaminput(dx) ;
320
                        sfactor(f8) <=  sparaminput(cy) ;
321
                        sfactor(f9) <=  sparaminput(dx) ;
322
                        sfactor(f10) <= sparaminput(cz) ;
323
                        sfactor(f11) <= sparaminput(dx) ;
324 125 jguarin200
                end if;
325 127 jguarin200
 
326 136 jguarin200
 
327 127 jguarin200
                if addsub='1' then
328
                        ssumando(s0) <= sparaminput(ax);
329
                        ssumando(s1) <= sparaminput(bx);
330
                        ssumando(s2) <= sparaminput(ay);
331
                        ssumando(s3) <= sparaminput(by);
332
                        ssumando(s4) <= sparaminput(az);
333
                        ssumando(s5) <= sparaminput(bz);
334
                else
335
                        ssumando(s0) <= sprd32blk(p0);
336
                        ssumando(s1) <= sprd32blk(p1);
337 132 jguarin200
                        if crossprod='0' then
338
                                ssumando(s2) <= sadd32blk(a0);
339
                                ssumando(s3) <= sdpfifo_q(dpfifoab);
340
                        else
341
                                ssumando(s2) <= sprd32blk(p2);
342
                                ssumando(s3) <= sprd32blk(p3);
343
                        end if;
344 127 jguarin200
                        ssumando(s4) <= sprd32blk(p4);
345
                        ssumando(s5) <= sprd32blk(p5);
346
                end if;
347 123 jguarin200
        end process;
348
 
349
 
350 127 jguarin200
 
351 153 jguarin200
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.