OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 158

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 158 jguarin200
 
26 151 jguarin200
use work.arithpack.all;
27 134 jguarin200
 
28 158 jguarin200
 
29 123 jguarin200
entity dpc is
30 152 jguarin200
 
31 122 jguarin200
        port (
32 143 jguarin200
                clk,rst                                 : in    std_logic;
33 158 jguarin200
                paraminput                              : in    vectorblock12;                                                                  --! Vectores A,B,C,D
34
                prd32blko                               : in    vectorblock06;  --! Salidas de los 6 multiplicadores.
35
                add32blko                               : in    vectorblock04;  --! Salidas de los 4 sumadores.
36 152 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (floatwidth-1 downto 0);                --! Salidas de la raiz cuadradas y el inversor.
37
                fifo32x23_q                             : in    std_logic_vector (03*floatwidth-1 downto 0);             --! Salida de la cola intermedia.
38
                fifo32x09_q                             : in    std_logic_vector (02*floatwidth-1 downto 0);     --! Salida de las colas de producto punto. 
39 127 jguarin200
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
40 152 jguarin200
                sync_chain_0                    : in    std_logic;                                                                      --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
41
                eoi_int                                 : in    std_logic;                                                                      --! Se&ntilde;al de interrupci&oacute;n de final de instrucci&oacute;n.
42
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Se&ntilde;al de interrupci&oacute;n de final de instrucci&oacute;n pero esta vez va asociada a la instrucc&oacute;n UCA.
43
                sqr32blki,inv32blki             : out   std_logic_vector (floatwidth-1 downto 0);                --! Salidas de las 2 raices cuadradas y los 2 inversores.
44
                fifo32x26_d                             : out   std_logic_vector (03*floatwidth-1 downto 0);             --! Entrada a la cola intermedia para la normalizaci&oacute;n.
45
                fifo32x09_d                             : out   std_logic_vector (02*floatwidth-1 downto 0);             --! Entrada a las colas intermedias del producto punto.         
46 158 jguarin200
                prd32blki                               : out   vectorblock12;  --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
47
                add32blki                               : out   vectorblock08;  --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
48 149 jguarin200
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
49
                fifo32x09_w                             : out   std_logic;
50 143 jguarin200
                fifo32x23_w,fifo32x09_r : out   std_logic;
51
                fifo32x23_r                             : out   std_logic;
52 150 jguarin200
                resf_vector                             : in    std_logic_vector (3 downto 0);                           --! Entradas de la se&ntilde;al de full de las colas de resultados. 
53
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operaci&oacute;n que est&aacute; en curso.
54 158 jguarin200
                resultoutput                    : out   vectorblock08 --! 8 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
55 122 jguarin200
        );
56 153 jguarin200
end entity;
57 122 jguarin200
 
58 123 jguarin200
architecture dpc_arch of dpc is
59 125 jguarin200
 
60
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
61 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
62
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
63
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
64
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
65
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
66 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
67
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
68 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
69 127 jguarin200
 
70 124 jguarin200
        constant dpfifoab : integer := 00;
71
        constant dpfifocd : integer := 01;
72
 
73 122 jguarin200
 
74
 
75 127 jguarin200
 
76 143 jguarin200
 
77 152 jguarin200
 
78 127 jguarin200
        signal sparaminput,sfactor                      : vectorblock12;
79
        signal ssumando,sresult                         : vectorblock08;
80
        signal sprd32blk                                        : vectorblock06;
81
        signal sadd32blk                                        : vectorblock04;
82 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
83 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
84 152 jguarin200
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);
85 142 jguarin200
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
86 143 jguarin200
        signal ssync_chain_d                            : std_logic;
87 149 jguarin200
        signal sres567w,sres123w,sres2w         : std_logic;
88
        signal sres0w,sres4w                            : std_logic;
89 150 jguarin200
        signal sres567f,sres123f                        : std_logic; --! Entradas de la se&ntilde;al de full de las colas de resultados. 
90
        signal sres24f,sres0f                           : std_logic;
91 143 jguarin200
 
92
 
93 140 jguarin200
 
94 151 jguarin200
 
95 123 jguarin200
begin
96 122 jguarin200
 
97 142 jguarin200
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
98 145 jguarin200
        ssync_chain(0) <= sync_chain_0;
99 140 jguarin200
        sync_chain_proc:
100
        process(clk,rst)
101
        begin
102
                if rst=rstMasterValue then
103 145 jguarin200
                        ssync_chain(28 downto 1) <= (others => '0');
104 140 jguarin200
                elsif clk'event and clk='1' then
105 142 jguarin200
                        for i in 28 downto 1 loop
106
                                ssync_chain(i) <= ssync_chain(i-1);
107 140 jguarin200
                        end loop;
108
                end if;
109
        end process sync_chain_proc;
110 144 jguarin200
 
111 140 jguarin200
        --! Escritura en las colas de resultados y escritura/lectura en las colas intermedias mediante cadena de resultados.
112 143 jguarin200
        fifo32x09_w <= ssync_chain(5);
113
        fifo32x23_w <= ssync_chain(1);
114
        fifo32x09_r <= ssync_chain(13);
115
        fifo32x23_r <= ssync_chain(24);
116 149 jguarin200
        sres0w  <= ssync_chain(23);
117
        sres4w  <= ssync_chain(22);
118
        resw    <= sres567w&sres4w&sres123w&sres2w&sres0w;
119 140 jguarin200
        sync_chain_comb:
120 142 jguarin200
        process (ssync_chain,addsub,crossprod,unary)
121 140 jguarin200
        begin
122
                if unary='1' then
123 149 jguarin200
                        sres567w <= ssync_chain(28);
124 140 jguarin200
                else
125 149 jguarin200
                        sres567w <= ssync_chain(4);
126 140 jguarin200
                end if;
127 127 jguarin200
 
128 140 jguarin200
                if addsub='1' then
129 149 jguarin200
                        sres123w <= ssync_chain(9);
130
                        sres2w <= ssync_chain(9);
131 140 jguarin200
                else
132 149 jguarin200
                        sres123w <= ssync_chain(13);
133 140 jguarin200
                        if crossprod='1' then
134 149 jguarin200
                                sres2w <= ssync_chain(13);
135 140 jguarin200
                        else
136 149 jguarin200
                                sres2w <= ssync_chain(22);
137 140 jguarin200
                        end if;
138
                end if;
139
        end process sync_chain_comb;
140
 
141
 
142
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, simplemente son abstracciones a nivel de c&oacute;digo y no representar&aacute; cambios en la s&iacute;ntesis.
143 158 jguarin200
        sparaminput     <= paraminput;
144
        prd32blki       <= sfactor;
145
        add32blki       <= ssumando;
146
        resultoutput<= sresult;
147
 
148
 
149 138 jguarin200
        stuff04:
150 148 jguarin200
        for i in 02 downto 1 generate
151 158 jguarin200
                sadd32blk(i)  <= add32blko(i);
152 138 jguarin200
        end generate stuff04;
153
 
154
 
155 124 jguarin200
        stuff03:
156
        for i in 02 downto 0 generate
157 152 jguarin200
                snormfifo_q(i) <= fifo32x23_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
158
                fifo32x26_d(i*floatwidth+floatwidth-1 downto i*floatwidth) <= snormfifo_d(i);
159 124 jguarin200
        end generate stuff03;
160
 
161 123 jguarin200
        stuff02:
162 127 jguarin200
        for i in 01 downto 0 generate
163 152 jguarin200
                sdpfifo_q(i)  <= fifo32x09_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
164 123 jguarin200
        end generate stuff02;
165 140 jguarin200
 
166
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, son abstracciones de c&oacute;digo tambi&eacute;n, sin embargo se realizan a trav&eacute;s de registros. 
167
        register_products_outputs:
168
        process (clk)
169
        begin
170
                if clk'event and clk='1' then
171 158 jguarin200
                        sprd32blk  <= prd32blko;
172 140 jguarin200
                end if;
173
        end process;
174
        --! Los productos del multiplicador 2 y 3, ya registrados dentro de dpc van a la cola intermedia del producto punto (fifo32x09_d)
175 148 jguarin200
        --! Los unicos resultados de sumandos que de nuevo entran al DataPathControl (observar la pesta&ntilde;a del documento de excel) 
176
 
177 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
178 140 jguarin200
        register_adder0_and_inversor_output:
179
        process (clk)
180
        begin
181
                if clk'event and clk='1' then
182 158 jguarin200
                        sadd32blk(a0) <= add32blko(a0);
183
                        sadd32blk(aa) <= add32blko(aa);
184 140 jguarin200
                        sinv32blk <= inv32blko;
185
                end if;
186
        end process;
187 122 jguarin200
 
188 127 jguarin200
 
189
 
190 140 jguarin200
 
191 144 jguarin200
        --! Raiz Cuadrada.
192 127 jguarin200
        ssqr32blk <= sqr32blko;
193
 
194 136 jguarin200
        --! Colas de salida de los distintos resultados;
195 127 jguarin200
        sresult(0) <= ssqr32blk;
196
        sresult(1) <= sadd32blk(a0);
197
        sresult(2) <= sadd32blk(a1);
198
        sresult(3) <= sadd32blk(a2);
199
        sresult(4) <= sadd32blk(aa);
200
        sresult(5) <= sprd32blk(p3);
201
        sresult(6) <= sprd32blk(p4);
202
        sresult(7) <= sprd32blk(p5);
203
 
204
        --! Cola de normalizacion
205
        snormfifo_d(qx) <= sparaminput(ax);
206
        snormfifo_d(qy) <= sparaminput(ay);
207
        snormfifo_d(qz) <= sparaminput(az);
208
 
209
 
210
 
211 136 jguarin200
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
212
        inv32blki <= sqr32blko;
213 139 jguarin200
        --! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
214 136 jguarin200
        sqr32blki <= sadd32blk(a1);
215 127 jguarin200
 
216
 
217 136 jguarin200
 
218
        --! Conectar las entradas del sumador a, a la salida 
219
        ssumando(s6) <= sadd32blk(a2);
220
        ssumando(s7) <= sdpfifo_q(dpfifocd);
221
 
222 144 jguarin200
        --!El siguiente proceso conecta la se&ntilde;al de cola "casi llena", de la cola que corresponde al resultado de la operaci&oacute;n indicada por los bit UCA (Unary, Crossprod, Addsub).
223 150 jguarin200
        sres0f          <= resf_vector(0);
224
        sres123f        <= resf_vector(1);
225
        sres24f         <= resf_vector(2);
226
        sres567f        <= resf_vector(3);
227
        fullQ:process(sres0f,sres123f,sres24f,sres567f,unary,crossprod,addsub,eoi_int)
228 143 jguarin200
        begin
229
                if unary='0' then
230 147 jguarin200
                        if crossprod='1' or addsub='1' then
231
                                eoi_demuxed_int <= "00"&eoi_int&'0';
232 150 jguarin200
                                resf_event <= sres123f;
233 143 jguarin200
                        else
234 147 jguarin200
                                eoi_demuxed_int <= '0'&eoi_int&"00";
235 150 jguarin200
                                resf_event <= sres24f;
236 143 jguarin200
                        end if;
237
                elsif crossprod='1' or addsub='1' then
238 147 jguarin200
                        eoi_demuxed_int <= eoi_int&"000";
239 150 jguarin200
                        resf_event <= sres567f;
240 143 jguarin200
                else
241 147 jguarin200
                        eoi_demuxed_int <= "000"&eoi_int;
242 150 jguarin200
                        resf_event <= sres0f;
243 143 jguarin200
                end if;
244
        end process;
245
 
246 144 jguarin200
        --! Decodificaci&oacute;n del Datapath.
247 142 jguarin200
        mul:process(unary,addsub,crossprod,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
248 123 jguarin200
        begin
249 124 jguarin200
 
250 142 jguarin200
                sfactor(f4) <= sparaminput(az);
251 127 jguarin200
                if unary='1' then
252
                        --! Magnitud y normalizacion
253
                        sfactor(f0) <= sparaminput(ax);
254
                        sfactor(f1) <= sparaminput(ax);
255
                        sfactor(f2) <= sparaminput(ay);
256
                        sfactor(f3) <= sparaminput(ay);
257 142 jguarin200
 
258 127 jguarin200
                        sfactor(f5) <= sparaminput(az);
259 142 jguarin200
                        if crossprod='1' and addsub='1' then
260
                                sfactor(f6) <= sparaminput(cx);
261
                                sfactor(f7) <= sparaminput(dx);
262
                                sfactor(f8) <= sparaminput(cy);
263
                                sfactor(f9) <= sparaminput(dx);
264
                                sfactor(f10) <= sparaminput(cz);
265
                                sfactor(f11) <= sparaminput(dx);
266
                        else
267
                                sfactor(f6) <= snormfifo_q(ax);
268
                                sfactor(f7) <= sinv32blk;
269
                                sfactor(f8) <= snormfifo_q(ay);
270
                                sfactor(f9) <= sinv32blk;
271
                                sfactor(f10) <= snormfifo_q(az);
272
                                sfactor(f11) <= sinv32blk;
273
                        end if;
274
 
275
 
276
                elsif addsub='0' then
277
                        --! Solo productos punto o cruz
278
                        if crossprod='1' then
279
 
280
                                sfactor(f0) <= sparaminput(ay);
281
                                sfactor(f1) <= sparaminput(bz);
282
                                sfactor(f2) <= sparaminput(az);
283
                                sfactor(f3) <= sparaminput(by);
284
 
285
                                sfactor(f5) <= sparaminput(bx);
286
                                sfactor(f6) <= sparaminput(ax);
287
                                sfactor(f7) <= sparaminput(bz);
288
                                sfactor(f8) <= sparaminput(ax);
289
                                sfactor(f9) <= sparaminput(by);
290
                                sfactor(f10) <= sparaminput(ay);
291
                                sfactor(f11) <= sparaminput(bx);
292
 
293
                        else
294
 
295
                                sfactor(f0) <=  sparaminput(ax) ;
296
                                sfactor(f1) <=  sparaminput(bx) ;
297
                                sfactor(f2) <=  sparaminput(ay) ;
298
                                sfactor(f3) <=  sparaminput(by) ;
299
                                sfactor(f5) <=  sparaminput(bz) ;
300
                                sfactor(f6) <=  sparaminput(cx) ;
301
                                sfactor(f7) <=  sparaminput(dx) ;
302
                                sfactor(f8) <=  sparaminput(cy) ;
303
                                sfactor(f9) <=  sparaminput(dy) ;
304
                                sfactor(f10) <= sparaminput(cz) ;
305
                                sfactor(f11) <= sparaminput(dz) ;
306
                        end if;
307
 
308 127 jguarin200
                else
309
                        sfactor(f0) <=  sparaminput(ax) ;
310
                        sfactor(f1) <=  sparaminput(bx) ;
311
                        sfactor(f2) <=  sparaminput(ay) ;
312
                        sfactor(f3) <=  sparaminput(by) ;
313
                        sfactor(f5) <=  sparaminput(bz) ;
314
                        sfactor(f6) <=  sparaminput(cx) ;
315
                        sfactor(f7) <=  sparaminput(dx) ;
316
                        sfactor(f8) <=  sparaminput(cy) ;
317
                        sfactor(f9) <=  sparaminput(dx) ;
318
                        sfactor(f10) <= sparaminput(cz) ;
319
                        sfactor(f11) <= sparaminput(dx) ;
320 125 jguarin200
                end if;
321 127 jguarin200
 
322 136 jguarin200
 
323 127 jguarin200
                if addsub='1' then
324
                        ssumando(s0) <= sparaminput(ax);
325
                        ssumando(s1) <= sparaminput(bx);
326
                        ssumando(s2) <= sparaminput(ay);
327
                        ssumando(s3) <= sparaminput(by);
328
                        ssumando(s4) <= sparaminput(az);
329
                        ssumando(s5) <= sparaminput(bz);
330
                else
331
                        ssumando(s0) <= sprd32blk(p0);
332
                        ssumando(s1) <= sprd32blk(p1);
333 132 jguarin200
                        if crossprod='0' then
334
                                ssumando(s2) <= sadd32blk(a0);
335
                                ssumando(s3) <= sdpfifo_q(dpfifoab);
336
                        else
337
                                ssumando(s2) <= sprd32blk(p2);
338
                                ssumando(s3) <= sprd32blk(p3);
339
                        end if;
340 127 jguarin200
                        ssumando(s4) <= sprd32blk(p4);
341
                        ssumando(s5) <= sprd32blk(p5);
342
                end if;
343 123 jguarin200
        end process;
344
 
345
 
346 127 jguarin200
 
347 153 jguarin200
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.