OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Blame information for rev 136

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 118 jguarin200
------------------------------------------------
2 119 jguarin200
--! @file fadd32.vhd
3 118 jguarin200
--! @brief RayTrac Floating Point Adder  
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------
6
 
7
 
8
-- RAYTRAC (FP BRANCH)
9
-- Author Julian Andres Guarin
10 119 jguarin200
-- fadd32.vhd
11 118 jguarin200
-- This file is part of raytrac.
12
-- 
13
--     raytrac is free software: you can redistribute it and/or modify
14
--     it under the terms of the GNU General Public License as published by
15
--     the Free Software Foundation, either version 3 of the License, or
16
--     (at your option) any later version.
17
-- 
18
--     raytrac is distributed in the hope that it will be useful,
19
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
20
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
--     GNU General Public License for more details.
22
-- 
23
--     You should have received a copy of the GNU General Public License
24
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
25
library ieee;
26
use ieee.std_logic_1164.all;
27
use ieee.std_logic_unsigned.all;
28 119 jguarin200
library lpm;
29
use lpm.all;
30 118 jguarin200
--! Esta entidad recibe dos n&uacutemeros en formato punto flotante IEEE 754, de precision simple y devuelve las mantissas signadas y corridas, y el exponente correspondiente al resultado antes de normalizarlo al formato float. 
31
--!\nLas 2 mantissas y el exponente entran despues a la entidad add2 que suma las mantissas y entrega el resultado en formato IEEE 754.
32 119 jguarin200
entity fadd32 is
33 118 jguarin200
        port (
34
                clk,dpc         : in std_logic;
35
                a32,b32         : in std_logic_vector (31 downto 0);
36
                c32                     : out std_logic_vector(31 downto 0)
37
        );
38 119 jguarin200
end fadd32;
39
architecture fadd32_arch of fadd32 is
40 118 jguarin200
 
41
        component lpm_mult
42
        generic (
43
                lpm_hint                        : string;
44
                lpm_representation      : string;
45
                lpm_type                        : string;
46
                lpm_widtha                      : natural;
47
                lpm_widthb                      : natural;
48
                lpm_widthp                      : natural
49
        );
50
        port (
51
                dataa   : in std_logic_vector ( lpm_widtha-1 downto 0 );
52
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
53
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
54
        );
55
        end component;
56
 
57 120 jguarin200
        signal s1zero,s5tokena,s5tokenb,s5tokenc,s7sign                                                                                 : std_logic;
58
        signal s5token                                                                                                                                          : std_logic_vector(2 downto 0);
59 119 jguarin200
        signal s1delta                                                                                                                                          : std_logic_vector(5 downto 0);
60
        signal s0delta,s1exp,s2exp,s3exp,s4exp,s5exp,s6exp,s5factor,s6factor,s7exp,s7factor     : std_logic_vector(7 downto 0);
61
        signal s1shifter,s5factorhot9,s6factorhot9                                                                                      : std_logic_vector(8 downto 0);
62
        signal s1pl,s6pl                                                                                                                                        : std_logic_vector(17 downto 0);
63
        signal s6postshift,s7postshift                                                                                                          : std_logic_vector(22 downto 0);
64
        signal s1umantshift,s1umantfixed,s1postshift,s1xorslab,s2xorslab                                        : std_logic_vector(23 downto 0);
65 120 jguarin200
        signal s5factorhot24                                                                                                                            : std_logic_vector(23 downto 0);
66 119 jguarin200
        signal s2umantshift,s2mantfixed,s3mantfixed,s3mantshift,s4xorslab                                       : std_logic_vector(24 downto 0);
67 120 jguarin200
        signal s4sresult,s5result,s6result                                                                                                      : std_logic_vector(25 downto 0); -- Signed mantissa result
68 119 jguarin200
        signal s1ph,s6ph                                                                                                                                        : std_logic_vector(26 downto 0);
69
        signal s0a,s0b                                                                                                                                          : std_logic_vector(31 downto 0); -- Float 32 bit 
70 118 jguarin200
 
71
begin
72
 
73
        process (clk)
74
        begin
75
                if clk'event and clk='1' then
76
 
77
                        --!Registro de entrada
78
                        s0a <= a32;
79
                        s0b(31) <= dpc xor b32(31);     --! Importante: Integrar el signo en el operando B
80
                        s0b(30 downto 0) <= b32(30 downto 0);
81
 
82
                        --!Etapa 0,Escoger el mayor exponente que sera el resultado desnormalizado, calcula cuanto debe ser el corrimiento de la mantissa con menor exponente y reorganiza los operandos, si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. Zero check.
83
                        --!signo,exponente,mantissa
84
                        if (s0b(30 downto 23)&s0a(30 downto 23))=x"0000" then
85
                                s1zero <= '0';
86
                        else
87
                                s1zero <= '1';
88
                        end if;
89 119 jguarin200
                        s1delta <= s0delta(7) & (s0delta(7) xor s0delta(4))&(s0delta(7) xor s0delta(3)) & s0delta(2 downto 0);
90 118 jguarin200
                        case s0delta(7) is
91
                                when '1'  =>
92
                                        s1exp <= s0b(30 downto 23);
93
                                        s1umantshift <= s0a(31)&s0a(22 downto 0);
94
                                        s1umantfixed <= s0b(31)&s0b(22 downto 0);
95
                                when others =>
96
                                        s1exp <= s0a(30 downto 23);
97
                                        s1umantshift <= s0b(31)&s0b(22 downto 0);
98
                                        s1umantfixed <= s0a(31)&s0a(22 downto 0);
99
                        end case;
100
 
101
                        --! Etapa 1: Denormalizaci&oacute;n de la mantissas.  
102
                        case s1delta(4 downto 3) is
103
                                when "00" =>    s2umantshift <= s1umantshift(23)&s1postshift(23 downto 0);
104
                                when "01" =>    s2umantshift <= s1umantshift(23)&x"00"&s1postshift(23 downto 8);
105
                                when "10" =>    s2umantshift <= s1umantshift(23)&x"0000"&s1postshift(23 downto 16);
106
                                when others =>  s2umantshift <= (others => '0');
107
                        end case;
108
                        s2mantfixed <= s1umantfixed(23) &         ( ( ('1'&s1umantfixed(22 downto 0)) xor s1xorslab)   + ( x"00000"&"000"&s1umantfixed(23)  )   );
109
                        s2exp  <= s1exp;
110
 
111
                        --! Etapa2: Signar la mantissa denormalizada.
112
                        s3mantfixed <= s2mantfixed;
113
                        s3mantshift <= s2umantshift(24)&         (  (      s2umantshift(23 downto 0)  xor s2xorslab)   + ( x"00000"&"000"&s2umantshift(24)  )   );
114
                        s3exp           <= s2exp;
115
 
116 119 jguarin200
                        --! Etapa 3: Etapa 3 Realizar la suma, entre la mantissa corrida y la fija.
117 118 jguarin200
                        s4sresult       <= (s3mantshift(24)&s3mantshift)+(s3mantfixed(24)&s3mantfixed);
118
                        s4exp           <= s3exp;
119
 
120
                        --! Etapa 4: Quitar el signo a la mantissa resultante.
121
                        s5result        <= s4sresult(25)&((s4sresult(24 downto 0) xor s4xorslab)  +(x"000000"&s4sresult(25)));
122
                        s5exp           <= s4exp;
123
 
124
 
125
                        --! Etapa 5: Codificar el corrimiento para la normalizacion de la mantissa resultante.
126 119 jguarin200
                        s6result                <= s5result;
127
                        s6exp                   <= s5exp;
128 118 jguarin200
                        s6factor                <= s5factor;
129 119 jguarin200
                        s6factorhot9    <= s5factorhot9;
130 118 jguarin200
 
131 119 jguarin200
                        --! Etapa 6: Ejecutar el corrimiento de la mantissa.
132 120 jguarin200
                        s7sign                  <= s6result(25);
133 119 jguarin200
                        s7exp                   <= s6exp;
134 120 jguarin200
                        s7factor                <= s6factor;
135 119 jguarin200
                        s7postshift             <= s6postshift;
136
 
137
                        --! Etapa 7: Entregar el resultado.
138 120 jguarin200
                        c32(31)                         <= s7sign;
139 119 jguarin200
                        c32(30 downto 23)       <= s7exp+s7factor;
140
                        case s7factor(4 downto 3) is
141
                                when "01"       => c32(22 downto 0) <= s7postshift(14 downto 00)&x"00";
142
                                when "10"       => c32(22 downto 0) <= s7postshift(06 downto 00)&x"0000";
143
                                when others => c32(22 downto 0)  <= s7postshift;
144 118 jguarin200
                        end case;
145
                end if;
146
        end process;
147
        --! Combinatorial gremlin, Etapa 0 el corrimiento de la mantissa con menor exponente y reorganiza los operandos,\n
148
        --! si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. 
149
        s0delta <=  s0a(30 downto 23)-s0b(30 downto 23);
150
        --! Combinatorial Gremlin, Etapa 1 Codificar el factor de corrimiento de denormalizacion y denormalizar la mantissa no fija. Signar la mantissa que se queda fija.
151
        decodeshiftfactor:
152
        process (s1delta(2 downto 0))
153
        begin
154
                case s1delta(2 downto 0) is
155
                        when "111" =>  s1shifter(8 downto 0) <= '0'&s1delta(5)&"00000"&not(s1delta(5))&'0';
156
                        when "110" =>  s1shifter(8 downto 0) <= "00"&s1delta(5)&"000"&not(s1delta(5))&"00";
157
                        when "101" =>  s1shifter(8 downto 0) <= "000"&s1delta(5)&'0'&not(s1delta(5))&"000";
158
                        when "100" =>  s1shifter(8 downto 0) <= '0'&x"10";
159
                        when "011" =>  s1shifter(8 downto 0) <= "000"&not(s1delta(5))&'0'&s1delta(5)&"000";
160
                        when "010" =>  s1shifter(8 downto 0) <= "00"&not(s1delta(5))&"000"&s1delta(5)&"00";
161
                        when "001" =>  s1shifter(8 downto 0) <= '0'&not(s1delta(5))&"00000"&s1delta(5)&'0';
162
                        when others => s1shifter(8 downto 0) <=    not(s1delta(5))&"0000000"&s1delta(5);
163
                end case;
164
        end process;
165
        denormhighshiftermult:lpm_mult
166
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,18,27)
167
        port    map (s1shifter,s1zero&s1umantshift(22 downto 06),s1ph);
168
        denormlowshiftermult:lpm_mult
169
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
170
        port    map (s1shifter,s1umantshift(5 downto 0)&"000",s1pl);
171
 
172
        s1postshift(23 downto 7) <= s1ph(25 downto 9);
173
        s1postshift(06 downto 0) <= s1ph(08 downto 2) or s1pl(17 downto 11);
174
        s1xorslab(23 downto 0) <= (others => s1umantfixed(23));
175
 
176
        --! Combinatorial Gremlin, Etapa 2: Signar la mantissa denormalizada. 
177
        s2xorslab <= (others => s2umantshift(24));
178
 
179
        --! Combinatorial Gremlin, Etapa 4: Quitar el signo de la mantissa resultante.
180
        s4xorslab <= (others => s4sresult(25));
181
 
182
        --! Combinatorial Gremlin, Etapa 5: Codificar el factor de normalizacion de la mantissa resultante.
183
        normalizerdecodeshift:
184 120 jguarin200
        process (s5result,s5factorhot24,s5token,s5tokena,s5tokenb,s5tokenc,s5factorhot9)
185 118 jguarin200
        begin
186 120 jguarin200
                s5tokena <= not(s5result(24));
187
                s5tokenb <= not(s5result(24));
188
                s5tokenc <= not(s5result(24));
189
                s5factor(7 downto 5) <= (others => s5result(24));
190
                s5factorhot24 <= x"000000";
191
                for i in 23 downto 16 loop
192 118 jguarin200
                        if s5result(i)='1' then
193 120 jguarin200
                                s5factorhot24(23-i) <= s5tokena;
194
                                s5tokenb <= '0';
195
                                s5tokenc <= '0';
196 118 jguarin200
                                exit;
197
                        end if;
198
                end loop;
199 120 jguarin200
                for i in 15 downto 8 loop
200
                        if s5result(i)='1' then
201
                                s5factorhot24(23-i) <= s5tokenb;
202
                                s5tokenc <= '0';
203
                                exit;
204
                        end if;
205
                end loop;
206
                for i in 7 downto 0 loop
207
                        if s5result(i)='1' then
208
                                s5factorhot24(23-i) <= s5tokenc;
209
                                exit;
210
                        end if;
211
                end loop;
212
                s5token <=s5tokena&s5tokenb&s5tokenc;
213
                case (s5token) is
214
                        when "100"  => s5factor(4 downto 3) <= "10";
215
                        when "110"  => s5factor(4 downto 3) <= "01";
216
                        when "111"      => s5factor(4 downto 3) <= "00";
217
                        when others => s5factor(4 downto 3) <= (others => s5result(24));
218
                end case;
219
                s5factorhot9 <= (s5factorhot24(7 downto 0)or s5factorhot24(15 downto 8)or s5factorhot24(23 downto 16)) & s5result(24);
220
                case s5factorhot9 is
221
                        when "100000000" => s5factor(2 downto 0) <= "111";
222
                        when "010000000" => s5factor(2 downto 0) <= "110";
223
                        when "001000000" => s5factor(2 downto 0) <= "101";
224
                        when "000100000" => s5factor(2 downto 0) <= "100";
225
                        when "000010000" => s5factor(2 downto 0) <= "011";
226
                        when "000001000" => s5factor(2 downto 0) <= "010";
227
                        when "000000100" => s5factor(2 downto 0) <= "001";
228
                        when "000000010" => s5factor(2 downto 0) <= "000";
229
                        when others => s5factor (2 downto 0) <= (others => s5result(24));
230
                end case;
231
 
232 118 jguarin200
        end process;
233 119 jguarin200
 
234
        --! Etapa 6: Ejecutar el corrimiento para normalizar la mantissa.
235 118 jguarin200
        normhighshiftermult:lpm_mult
236
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,18,27)
237 119 jguarin200
        port    map (s6factorhot9,s6result(24 downto 7),s6ph);
238 118 jguarin200
        normlowshiftermult:lpm_mult
239
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
240 119 jguarin200
        port    map (s6factorhot9,s6result(06 downto 0)&"00",s6pl);
241
        s6postshift(22 downto 15) <= s6ph(16 downto 09);
242 120 jguarin200
        s6postshift(14 downto 06) <= s6ph(08 downto 00) + s6pl(17 downto 09);
243 119 jguarin200
        s6postshift(05 downto 00) <= s6pl(08 downto 03);
244 118 jguarin200
 
245
 
246
 
247
 
248
 
249 119 jguarin200
end fadd32_arch;
250 118 jguarin200
 
251
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.