OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Blame information for rev 150

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 118 jguarin200
------------------------------------------------
2 119 jguarin200
--! @file fadd32.vhd
3 118 jguarin200
--! @brief RayTrac Floating Point Adder  
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------
6
 
7
 
8
-- RAYTRAC (FP BRANCH)
9
-- Author Julian Andres Guarin
10 119 jguarin200
-- fadd32.vhd
11 118 jguarin200
-- This file is part of raytrac.
12
-- 
13
--     raytrac is free software: you can redistribute it and/or modify
14
--     it under the terms of the GNU General Public License as published by
15
--     the Free Software Foundation, either version 3 of the License, or
16
--     (at your option) any later version.
17
-- 
18
--     raytrac is distributed in the hope that it will be useful,
19
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
20
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
--     GNU General Public License for more details.
22
-- 
23
--     You should have received a copy of the GNU General Public License
24
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
25
library ieee;
26
use ieee.std_logic_1164.all;
27
use ieee.std_logic_unsigned.all;
28 119 jguarin200
library lpm;
29
use lpm.all;
30 118 jguarin200
--! Esta entidad recibe dos n&uacutemeros en formato punto flotante IEEE 754, de precision simple y devuelve las mantissas signadas y corridas, y el exponente correspondiente al resultado antes de normalizarlo al formato float. 
31
--!\nLas 2 mantissas y el exponente entran despues a la entidad add2 que suma las mantissas y entrega el resultado en formato IEEE 754.
32 139 jguarin200
entity fadd32 is
33 150 jguarin200
 
34 118 jguarin200
        port (
35 150 jguarin200
                clk,dpc : in std_logic;
36
                a32,b32 : in std_logic_vector (31 downto 0);
37
                c32             : out std_logic_vector(31 downto 0)
38 118 jguarin200
        );
39 119 jguarin200
end fadd32;
40
architecture fadd32_arch of fadd32 is
41 118 jguarin200
 
42
        component lpm_mult
43
        generic (
44
                lpm_hint                        : string;
45
                lpm_representation      : string;
46
                lpm_type                        : string;
47
                lpm_widtha                      : natural;
48
                lpm_widthb                      : natural;
49
                lpm_widthp                      : natural
50
        );
51
        port (
52
                dataa   : in std_logic_vector ( lpm_widtha-1 downto 0 );
53
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
54
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
55
        );
56
        end component;
57
 
58 120 jguarin200
        signal s1zero,s5tokena,s5tokenb,s5tokenc,s7sign                                                                                 : std_logic;
59
        signal s5token                                                                                                                                          : std_logic_vector(2 downto 0);
60 119 jguarin200
        signal s1delta                                                                                                                                          : std_logic_vector(5 downto 0);
61
        signal s0delta,s1exp,s2exp,s3exp,s4exp,s5exp,s6exp,s5factor,s6factor,s7exp,s7factor     : std_logic_vector(7 downto 0);
62
        signal s1shifter,s5factorhot9,s6factorhot9                                                                                      : std_logic_vector(8 downto 0);
63
        signal s1pl,s6pl                                                                                                                                        : std_logic_vector(17 downto 0);
64
        signal s6postshift,s7postshift                                                                                                          : std_logic_vector(22 downto 0);
65
        signal s1umantshift,s1umantfixed,s1postshift,s1xorslab,s2xorslab                                        : std_logic_vector(23 downto 0);
66 120 jguarin200
        signal s5factorhot24                                                                                                                            : std_logic_vector(23 downto 0);
67 119 jguarin200
        signal s2umantshift,s2mantfixed,s3mantfixed,s3mantshift,s4xorslab                                       : std_logic_vector(24 downto 0);
68 120 jguarin200
        signal s4sresult,s5result,s6result                                                                                                      : std_logic_vector(25 downto 0); -- Signed mantissa result
69 119 jguarin200
        signal s1ph,s6ph                                                                                                                                        : std_logic_vector(26 downto 0);
70
        signal s0a,s0b                                                                                                                                          : std_logic_vector(31 downto 0); -- Float 32 bit 
71 139 jguarin200
        signal sxprop : std_logic_vector(7 downto 0);
72 118 jguarin200
 
73
begin
74 150 jguarin200
 
75 139 jguarin200
        process (clk)
76 118 jguarin200
        begin
77 139 jguarin200
                if clk'event and clk='1'  then
78 118 jguarin200
 
79
                        --!Registro de entrada
80
                        s0a <= a32;
81
                        s0b(31) <= dpc xor b32(31);     --! Importante: Integrar el signo en el operando B
82
                        s0b(30 downto 0) <= b32(30 downto 0);
83
 
84
                        --!Etapa 0,Escoger el mayor exponente que sera el resultado desnormalizado, calcula cuanto debe ser el corrimiento de la mantissa con menor exponente y reorganiza los operandos, si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. Zero check.
85
                        --!signo,exponente,mantissa
86
                        if (s0b(30 downto 23)&s0a(30 downto 23))=x"0000" then
87
                                s1zero <= '0';
88
                        else
89
                                s1zero <= '1';
90
                        end if;
91 119 jguarin200
                        s1delta <= s0delta(7) & (s0delta(7) xor s0delta(4))&(s0delta(7) xor s0delta(3)) & s0delta(2 downto 0);
92 118 jguarin200
                        case s0delta(7) is
93
                                when '1'  =>
94
                                        s1exp <= s0b(30 downto 23);
95
                                        s1umantshift <= s0a(31)&s0a(22 downto 0);
96
                                        s1umantfixed <= s0b(31)&s0b(22 downto 0);
97
                                when others =>
98
                                        s1exp <= s0a(30 downto 23);
99
                                        s1umantshift <= s0b(31)&s0b(22 downto 0);
100
                                        s1umantfixed <= s0a(31)&s0a(22 downto 0);
101
                        end case;
102
 
103
                        --! Etapa 1: Denormalizaci&oacute;n de la mantissas.  
104
                        case s1delta(4 downto 3) is
105
                                when "00" =>    s2umantshift <= s1umantshift(23)&s1postshift(23 downto 0);
106
                                when "01" =>    s2umantshift <= s1umantshift(23)&x"00"&s1postshift(23 downto 8);
107
                                when "10" =>    s2umantshift <= s1umantshift(23)&x"0000"&s1postshift(23 downto 16);
108
                                when others =>  s2umantshift <= (others => '0');
109
                        end case;
110
                        s2mantfixed <= s1umantfixed(23) &         ( ( ('1'&s1umantfixed(22 downto 0)) xor s1xorslab)   + ( x"00000"&"000"&s1umantfixed(23)  )   );
111
                        s2exp  <= s1exp;
112
 
113
                        --! Etapa2: Signar la mantissa denormalizada.
114
                        s3mantfixed <= s2mantfixed;
115
                        s3mantshift <= s2umantshift(24)&         (  (      s2umantshift(23 downto 0)  xor s2xorslab)   + ( x"00000"&"000"&s2umantshift(24)  )   );
116
                        s3exp           <= s2exp;
117
 
118 119 jguarin200
                        --! Etapa 3: Etapa 3 Realizar la suma, entre la mantissa corrida y la fija.
119 118 jguarin200
                        s4sresult       <= (s3mantshift(24)&s3mantshift)+(s3mantfixed(24)&s3mantfixed);
120
                        s4exp           <= s3exp;
121
 
122
                        --! Etapa 4: Quitar el signo a la mantissa resultante.
123
                        s5result        <= s4sresult(25)&((s4sresult(24 downto 0) xor s4xorslab)  +(x"000000"&s4sresult(25)));
124
                        s5exp           <= s4exp;
125
 
126
 
127
                        --! Etapa 5: Codificar el corrimiento para la normalizacion de la mantissa resultante.
128 119 jguarin200
                        s6result                <= s5result;
129
                        s6exp                   <= s5exp;
130 118 jguarin200
                        s6factor                <= s5factor;
131 119 jguarin200
                        s6factorhot9    <= s5factorhot9;
132 118 jguarin200
 
133 119 jguarin200
                        --! Etapa 6: Ejecutar el corrimiento de la mantissa.
134 120 jguarin200
                        s7sign                  <= s6result(25);
135 119 jguarin200
                        s7exp                   <= s6exp;
136 120 jguarin200
                        s7factor                <= s6factor;
137 119 jguarin200
                        s7postshift             <= s6postshift;
138
 
139 137 jguarin200
 
140 118 jguarin200
                end if;
141
        end process;
142 137 jguarin200
 
143
        --! Etapa 7: Entregar el resultado.
144
        c32(31) <= s7sign;
145
        process(s7exp,s7postshift,s7factor)
146
        begin
147
                c32(30 downto 23)       <= s7exp+s7factor;
148
                case s7factor(4 downto 3) is
149
                        when "01"       => c32(22 downto 0) <= s7postshift(14 downto 00)&x"00";
150
                        when "10"       => c32(22 downto 0) <= s7postshift(06 downto 00)&x"0000";
151
                        when others => c32(22 downto 0)  <= s7postshift;
152
                end case;
153
        end process;
154 118 jguarin200
        --! Combinatorial gremlin, Etapa 0 el corrimiento de la mantissa con menor exponente y reorganiza los operandos,\n
155
        --! si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. 
156
        s0delta <=  s0a(30 downto 23)-s0b(30 downto 23);
157
        --! Combinatorial Gremlin, Etapa 1 Codificar el factor de corrimiento de denormalizacion y denormalizar la mantissa no fija. Signar la mantissa que se queda fija.
158
        decodeshiftfactor:
159
        process (s1delta(2 downto 0))
160
        begin
161
                case s1delta(2 downto 0) is
162
                        when "111" =>  s1shifter(8 downto 0) <= '0'&s1delta(5)&"00000"&not(s1delta(5))&'0';
163
                        when "110" =>  s1shifter(8 downto 0) <= "00"&s1delta(5)&"000"&not(s1delta(5))&"00";
164
                        when "101" =>  s1shifter(8 downto 0) <= "000"&s1delta(5)&'0'&not(s1delta(5))&"000";
165
                        when "100" =>  s1shifter(8 downto 0) <= '0'&x"10";
166
                        when "011" =>  s1shifter(8 downto 0) <= "000"&not(s1delta(5))&'0'&s1delta(5)&"000";
167
                        when "010" =>  s1shifter(8 downto 0) <= "00"&not(s1delta(5))&"000"&s1delta(5)&"00";
168
                        when "001" =>  s1shifter(8 downto 0) <= '0'&not(s1delta(5))&"00000"&s1delta(5)&'0';
169
                        when others => s1shifter(8 downto 0) <=    not(s1delta(5))&"0000000"&s1delta(5);
170
                end case;
171
        end process;
172
        denormhighshiftermult:lpm_mult
173
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,18,27)
174
        port    map (s1shifter,s1zero&s1umantshift(22 downto 06),s1ph);
175
        denormlowshiftermult:lpm_mult
176
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
177
        port    map (s1shifter,s1umantshift(5 downto 0)&"000",s1pl);
178
 
179
        s1postshift(23 downto 7) <= s1ph(25 downto 9);
180
        s1postshift(06 downto 0) <= s1ph(08 downto 2) or s1pl(17 downto 11);
181
        s1xorslab(23 downto 0) <= (others => s1umantfixed(23));
182
 
183
        --! Combinatorial Gremlin, Etapa 2: Signar la mantissa denormalizada. 
184
        s2xorslab <= (others => s2umantshift(24));
185
 
186
        --! Combinatorial Gremlin, Etapa 4: Quitar el signo de la mantissa resultante.
187
        s4xorslab <= (others => s4sresult(25));
188
 
189
        --! Combinatorial Gremlin, Etapa 5: Codificar el factor de normalizacion de la mantissa resultante.
190
        normalizerdecodeshift:
191 120 jguarin200
        process (s5result,s5factorhot24,s5token,s5tokena,s5tokenb,s5tokenc,s5factorhot9)
192 118 jguarin200
        begin
193 120 jguarin200
                s5tokena <= not(s5result(24));
194
                s5tokenb <= not(s5result(24));
195
                s5tokenc <= not(s5result(24));
196
                s5factor(7 downto 5) <= (others => s5result(24));
197
                s5factorhot24 <= x"000000";
198
                for i in 23 downto 16 loop
199 118 jguarin200
                        if s5result(i)='1' then
200 120 jguarin200
                                s5factorhot24(23-i) <= s5tokena;
201
                                s5tokenb <= '0';
202
                                s5tokenc <= '0';
203 118 jguarin200
                                exit;
204
                        end if;
205
                end loop;
206 120 jguarin200
                for i in 15 downto 8 loop
207
                        if s5result(i)='1' then
208
                                s5factorhot24(23-i) <= s5tokenb;
209
                                s5tokenc <= '0';
210
                                exit;
211
                        end if;
212
                end loop;
213
                for i in 7 downto 0 loop
214
                        if s5result(i)='1' then
215
                                s5factorhot24(23-i) <= s5tokenc;
216
                                exit;
217
                        end if;
218
                end loop;
219
                s5token <=s5tokena&s5tokenb&s5tokenc;
220
                case (s5token) is
221
                        when "100"  => s5factor(4 downto 3) <= "10";
222
                        when "110"  => s5factor(4 downto 3) <= "01";
223
                        when "111"      => s5factor(4 downto 3) <= "00";
224
                        when others => s5factor(4 downto 3) <= (others => s5result(24));
225
                end case;
226
                s5factorhot9 <= (s5factorhot24(7 downto 0)or s5factorhot24(15 downto 8)or s5factorhot24(23 downto 16)) & s5result(24);
227
                case s5factorhot9 is
228
                        when "100000000" => s5factor(2 downto 0) <= "111";
229
                        when "010000000" => s5factor(2 downto 0) <= "110";
230
                        when "001000000" => s5factor(2 downto 0) <= "101";
231
                        when "000100000" => s5factor(2 downto 0) <= "100";
232
                        when "000010000" => s5factor(2 downto 0) <= "011";
233
                        when "000001000" => s5factor(2 downto 0) <= "010";
234
                        when "000000100" => s5factor(2 downto 0) <= "001";
235
                        when "000000010" => s5factor(2 downto 0) <= "000";
236
                        when others => s5factor (2 downto 0) <= (others => s5result(24));
237
                end case;
238
 
239 118 jguarin200
        end process;
240 119 jguarin200
 
241
        --! Etapa 6: Ejecutar el corrimiento para normalizar la mantissa.
242 118 jguarin200
        normhighshiftermult:lpm_mult
243
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,18,27)
244 119 jguarin200
        port    map (s6factorhot9,s6result(24 downto 7),s6ph);
245 118 jguarin200
        normlowshiftermult:lpm_mult
246
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
247 119 jguarin200
        port    map (s6factorhot9,s6result(06 downto 0)&"00",s6pl);
248
        s6postshift(22 downto 15) <= s6ph(16 downto 09);
249 120 jguarin200
        s6postshift(14 downto 06) <= s6ph(08 downto 00) + s6pl(17 downto 09);
250 119 jguarin200
        s6postshift(05 downto 00) <= s6pl(08 downto 03);
251 118 jguarin200
 
252
 
253
 
254
 
255
 
256 119 jguarin200
end fadd32_arch;
257 118 jguarin200
 
258
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.