OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Blame information for rev 128

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 128 jguarin200
--! @file memblock.vhd
2
--! @brief Bloque de memoria. 
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- memblock.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25
entity memblock is
26
        generic (
27
                width : integer := 32
28
        );
29
        port (
30
                clk,
31
 
32
 
33
        );
34
end memblock;
35
 
36
architecture memblock_arch of memblock is
37
 
38
        component scfifo
39
        generic (
40
                add_ram_output_register :string;
41
                intended_device_family  :string;
42
                lpm_hint                                :string;
43
                lpm_numwords                    :natural;
44
                lpm_showahead                   :string;
45
                lpm_type                                :string;
46
                lpm_width                               :natural;
47
                lpm_widthu                              :natural;
48
                overflow_checking               :string;
49
                underflow_checking              :string;
50
                use_eab                                 :string
51
        );
52
        port(
53
                rdreq   : in std_logic;
54
                empty   : out std_logic;
55
                clock   : in std_logic;
56
                q               : out std_logic_vector(width-1 downto 0);
57
                wrreq   : in std_logic;
58
                data    : in std_logic_vector(width-1 downto 0);
59
                full    : out std_logic
60
        );
61
        end component;
62
 
63
 
64
begin
65
 
66
        dpfifo : scfifo
67
        generic map ("OFF","Cyclone III","RAM_BLOCK_TYPE=M9K",9,"OFF","SCFIFO",64,4,"OFF","ON","ON");
68
        port    map (dpfifo_rd,clk,dpfifo_wr,dpfifo_d,dpfifo_empty,dpfifo_q,dpfifo_full);
69
        normfifo : scfifo
70
        generic map ("OFF","Cyclone III","RAM_BLOCK_TYPE=M9K",26,"OFF","SCFIFO",96,5,"OFF","ON","ON");
71
        port    map (normfifo_rd,clk,normfifo_wr,normfifo_d,normfifo_empty,normfifo_q,normfifo_full);
72
 
73
 
74
 
75
 
76
 
77
end memblock_arch;
78
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.