OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Blame information for rev 138

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 128 jguarin200
--! @file memblock.vhd
2
--! @brief Bloque de memoria. 
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- memblock.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 129 jguarin200
 
26 128 jguarin200
entity memblock is
27
        generic (
28 129 jguarin200
 
29
                width : integer := 32;
30
                blocksize : integer := 512;
31
                widthadmemblock : integer :=9;
32
 
33
                external_writeable_blocks : integer := 12;
34
                external_readable_blocks  : integer := 8;
35
                external_readable_widthad       : integer := 3;
36
                external_writeable_widthad      : integer := 4
37 128 jguarin200
        );
38
        port (
39
 
40 138 jguarin200
                clk,ena,dpfifo_flush,normfifo_flush,dpfifo_rd,normfifo_rd,dpfifo_wr,normfifo_wr : in std_logic;
41 129 jguarin200
                dpfifo_empty, normfifo_empty, dpfifo_full, normfifo_full : out std_logic;
42 138 jguarin200
                instrfifo_flush,instrfifo_rd,instrfifo_wr,resultfifo_flush,resultfifo_wr: in std_logic;
43
                instrfifo_empty,instrfifo_full: out std_logic;
44
                ext_rd,ext_wr: in std_logic;
45 129 jguarin200
                ext_wr_add : in std_logic_vector(external_writeable_widthad+widthadmemblock-1 downto 0);
46 138 jguarin200
                ext_rd_add : in std_logic_vector(external_readable_widthad-1 downto 0);
47 129 jguarin200
                ext_d: in std_logic_vector(width-1 downto 0);
48 138 jguarin200
                resultfifo_full,resultfifo_empty : out std_logic_vector(external_readable_blocks-1 downto 0);
49 129 jguarin200
                int_d : in std_logic_vector(external_readable_blocks*width-1 downto 0);
50 138 jguarin200
                ext_q,instrfifo_q : out std_logic_vector(width-1 downto 0);
51 129 jguarin200
                int_q : out std_logic_vector(external_writeable_blocks*width-1 downto 0);
52 130 jguarin200
                int_rd_add : in std_logic_vector(2*widthadmemblock-1 downto 0);
53 133 jguarin200
                instrfifo_d : in std_logic_vector(width-1 downto 0);
54 129 jguarin200
                dpfifo_d : in std_logic_vector(width*2-1 downto 0);
55
                normfifo_d : in std_logic_vector(width*3-1 downto 0);
56
                dpfifo_q : out std_logic_vector(width*2-1 downto 0);
57
                normfifo_q : out std_logic_vector(width*3-1 downto 0)
58 128 jguarin200
        );
59
end memblock;
60
 
61
architecture memblock_arch of memblock is
62
 
63 130 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
64
        type    vectorblock08 is array (07 downto 0) of std_logic_vector(width-1 downto 0);
65
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(widthadmemblock-1 downto 0);
66 129 jguarin200
 
67 128 jguarin200
        component scfifo
68
        generic (
69
                add_ram_output_register :string;
70 138 jguarin200
                almost_full_value               :natural;
71
                allow_wrcycle_when_full :string;
72 128 jguarin200
                intended_device_family  :string;
73
                lpm_hint                                :string;
74
                lpm_numwords                    :natural;
75
                lpm_showahead                   :string;
76
                lpm_type                                :string;
77
                lpm_width                               :natural;
78
                lpm_widthu                              :natural;
79
                overflow_checking               :string;
80
                underflow_checking              :string;
81
                use_eab                                 :string
82
        );
83
        port(
84 138 jguarin200
                rdreq           : in std_logic;
85
                aclr            : in std_logic;
86
                empty           : out std_logic;
87
                clock           : in std_logic;
88
                q                       : out std_logic_vector(lpm_width-1 downto 0);
89
                wrreq           : in std_logic;
90
                data            : in std_logic_vector(lpm_width-1 downto 0);
91
                almost_full : out std_logic;
92
                full            : out std_logic
93 128 jguarin200
        );
94
        end component;
95 129 jguarin200
 
96
        component altsyncram
97
        generic (
98
                address_aclr_b                  : string;
99
                address_reg_b                   : string;
100
                clock_enable_input_a    : string;
101
                clock_enable_input_b    : string;
102
                clock_enable_output_b   : string;
103
                intended_device_family  : string;
104
                lpm_type                                : string;
105
                numwords_a                              : natural;
106
                numwords_b                              : natural;
107
                operation_mode                  : string;
108
                outdata_aclr_b                  : string;
109
                outdata_reg_b                   : string;
110
                power_up_uninitialized  : string;
111
                ram_block_type                  : string;
112
                rdcontrol_reg_b                 : string;
113
                read_during_write_mode_mixed_ports      : string;
114
                widthad_a                               : natural;
115
                widthad_b                               : natural;
116
                width_a                                 : natural;
117
                width_b                                 : natural;
118
                width_byteena_a                 : natural
119
        );
120
        port (
121
                wren_a          : in std_logic;
122
                clock0          : in std_logic;
123
                address_a       : in std_logic_vector(widthad_a-1 downto 0);
124
                address_b       : in std_logic_vector(widthad_b-1 downto 0);
125
                rden_b          : in std_logic;
126
                q_b                     : out std_logic_vector(width-1 downto 0);
127
                data_a          : in std_logic_vector(width-1 downto 0)
128
 
129
        );
130
        end component;
131
        signal s0ext_wr_add_one_hot : std_logic_vector(external_writeable_blocks-1 downto 0);
132
        signal s0ext_wr_add                     : std_logic_vector(external_writeable_widthad+widthadmemblock-1 downto 0);
133 130 jguarin200
        signal s0ext_rd_add                     : std_logic_vector(external_readable_widthad-1 downto 0);
134 129 jguarin200
        signal s0int_rd_add                     : std_logic_vector(widthadmemblock-1 downto 0);
135 138 jguarin200
        signal s0ext_wr,s0ext_rd        : std_logic;
136 130 jguarin200
        signal s0ext_d                          : std_logic_vector(width-1 downto 0);
137 138 jguarin200
        signal s0ext_rd_ack                     : std_logic_vector(external_readable_blocks-1 downto 0);
138
        signal s0ext_q,sint_d           : vectorblock08;
139 130 jguarin200
        signal sint_rd_add                      : vectorblock02;
140 138 jguarin200
        signal s1int_q                          : vectorblock12;
141 130 jguarin200
 
142 128 jguarin200
begin
143
 
144 136 jguarin200
        dpfifo : scfifo --! Debe ir registrada la salida.
145 138 jguarin200
        generic map ("ON",9,"OFF","Cyclone III","RAM_BLOCK_TYPE=M9K",16,"OFF","SCFIFO",64,4,"OFF","OFF","ON")
146 129 jguarin200
        port    map (dpfifo_rd,dpfifo_flush,dpfifo_empty,clk,dpfifo_q,dpfifo_wr,dpfifo_d,dpfifo_full);
147 128 jguarin200
        normfifo : scfifo
148 138 jguarin200
        generic map ("ON",23,"OFF","Cyclone III","RAM_BLOCK_TYPE=M9K",32,"OFF","SCFIFO",96,5,"OFF","OFF","ON")
149 129 jguarin200
        port    map (normfifo_rd,normfifo_flush,normfifo_empty,clk,normfifo_q,normfifo_wr,normfifo_d,normfifo_full);
150 138 jguarin200
        instrfifo : scfifo
151
        generic map ("ON",31,"ON","Cyclone III","RAM_BLOCK_TYPE_M9K",32,"OFF","SCIFIFO",32,5,"ON","OFF","ON")
152
        port    map (instrfifo_rd,instrfifo_flush,instrfifo_empty,clk,instrfifo_q,instrfifo_wr,instrfifo_d,instrfifo_full);
153 128 jguarin200
 
154 133 jguarin200
 
155 130 jguarin200
        sint_rd_add (0)<= int_rd_add(widthadmemblock-1 downto 0);
156
        sint_rd_add (1)<= int_rd_add(2*widthadmemblock-1 downto widthadmemblock);
157
 
158
        results_blocks:
159
        for i in 7 downto 0 generate
160
                sint_d(i) <= int_d((i+1)*width-1 downto i*width);
161 138 jguarin200
                resultsfifo : scfifo
162
                generic map     ("ON",511,"ON","Cyclone III","RAM_BLOCK_TYPE_M9K",512,"OFF","SCIFIFO",32,9,"ON","OFF","ON")
163
                port    map (s0ext_rd_ack(i),resultfifo_flush,resultfifo_empty(i),clk,s0ext_q(i),resultfifo_wr,sint_d(i),open,resultfifo_full(i));
164
--              resultsblock : altsyncram
165
--              generic map ("NONE","CLOCK0","BYPASS","BYPASS","BYPASS","Cyclone III","altsyncram",2**widthadmemblock,2**widthadmemblock,"DUAL_PORT","NONE","CLOCK0","FALSE","M9K","CLOCK0","OLD_DATA",widthadmemblock,widthadmemblock,width,width,1)
166
--              port    map (resultfifo_wr,clk,resultfifo_wr_add,ext_rd_add(widthadmemblock-1 downto 0),ext_rd,s1ext_q(i),sint_d(i));
167 130 jguarin200
        end generate results_blocks;
168
 
169
        operands_blocks:
170 129 jguarin200
        for i in 11 downto 0 generate
171 130 jguarin200
                int_q((i+1)*width-1 downto width*i) <= s1int_q(i);
172 129 jguarin200
                operandsblock : altsyncram
173 130 jguarin200
                generic map ("NONE","CLOCK0","BYPASS","BYPASS","BYPASS","Cyclone III","altsyncram",2**widthadmemblock,2**widthadmemblock,"DUAL_PORT","NONE","CLOCK0","FALSE","M9K","CLOCK0","OLD_DATA",widthadmemblock,widthadmemblock,width,width,1)
174 131 jguarin200
                port    map (s0ext_wr_add_one_hot(i),clk,s0ext_wr_add(widthadmemblock-1 downto 0),sint_rd_add((i/3) mod 2),'1',s1int_q(i),s0ext_d);
175 130 jguarin200
        end generate operands_blocks;
176 128 jguarin200
 
177 130 jguarin200
 
178 138 jguarin200
        operands_block_proc: process (clk,ena)
179 129 jguarin200
        begin
180 138 jguarin200
                if clk'event and clk='1' and ena='1' then
181 130 jguarin200
                         --! Registro de entrada
182 129 jguarin200
                         s0ext_wr_add <= ext_wr_add;
183
                         s0ext_wr  <= ext_wr;
184 138 jguarin200
                         s0ext_d  <= ext_d;
185 129 jguarin200
                end if;
186
        end process;
187 138 jguarin200
        operands_block_comb: process (s0ext_wr_add,s0ext_wr)
188 130 jguarin200
        begin
189 138 jguarin200
 
190
                --! Etapa 0: Decodificacion de las se&ntilde:ales de escritura.
191
                case s0ext_wr_add(external_writeable_widthad+widthadmemblock-1 downto widthadmemblock) is
192
                        when x"0" => s0ext_wr_add_one_hot <= x"00"&"000"&s0ext_wr;
193
                        when x"1" => s0ext_wr_add_one_hot <= x"00"&"00"&s0ext_wr&'0';
194
                        when x"2" => s0ext_wr_add_one_hot <= x"00"&'0'&s0ext_wr&"00";
195
                        when x"3" => s0ext_wr_add_one_hot <= x"00"&s0ext_wr&"000";
196
                        when x"4" => s0ext_wr_add_one_hot <= x"0"&"000"&s0ext_wr&x"0";
197
                        when x"5" => s0ext_wr_add_one_hot <= x"0"&"00"&s0ext_wr&'0'&x"0";
198
                        when x"6" => s0ext_wr_add_one_hot <= x"0"&'0'&s0ext_wr&"00"&x"0";
199
                        when x"7" => s0ext_wr_add_one_hot <= x"0"&s0ext_wr&"000"&x"0";
200
                        when x"8" => s0ext_wr_add_one_hot <= "000"&s0ext_wr&x"00";
201
                        when x"9" => s0ext_wr_add_one_hot <= "00"&s0ext_wr&'0'&x"00";
202
                        when x"A" => s0ext_wr_add_one_hot <= '0'&s0ext_wr&"00"&x"00";
203
                        when others => s0ext_wr_add_one_hot <= s0ext_wr&"000"&x"00";
204
                end case;
205
 
206
        end process;
207
        results_block_proc: process(clk,ena)
208
        begin
209
                if clk'event and clk='1' and ena='1' then
210 130 jguarin200
                        --!Registrar entrada
211 138 jguarin200
                        s0ext_rd_add    <= ext_rd_add;
212
                        s0ext_rd                <= ext_rd;
213
                        --!Etapa 0: Decodificar la cola que se va a mover (rdack! fifo showahead mode) y por ende leer ese dato.
214
                        case '0'&s0ext_rd_add is
215
                                when x"0" => ext_q <= s0ext_q(0);
216
                                when x"1" => ext_q <= s0ext_q(1);
217
                                when x"2" => ext_q <= s0ext_q(2);
218
                                when x"3" => ext_q <= s0ext_q(3);
219
                                when x"4" => ext_q <= s0ext_q(4);
220
                                when x"5" => ext_q <= s0ext_q(5);
221
                                when x"6" => ext_q <= s0ext_q(6);
222
                                when others => ext_q <= s0ext_q(7);
223 130 jguarin200
                        end case;
224
                end if;
225
        end process;
226 138 jguarin200
        results_block_proc_combinatorial_stage: process(s0ext_rd,s0ext_rd_add)
227
        begin
228
                case '0'&s0ext_rd_add is
229
                        when x"0" => s0ext_rd_ack <= x"0"&"000"&s0ext_rd;
230
                        when x"1" => s0ext_rd_ack <= x"0"&"00"&s0ext_rd&'0';
231
                        when x"2" => s0ext_rd_ack <= x"0"&"0"&s0ext_rd&"00";
232
                        when x"3" => s0ext_rd_ack <= x"0"&s0ext_rd&"000";
233
                        when x"4" => s0ext_rd_ack <= "000"&s0ext_rd&x"0";
234
                        when x"5" => s0ext_rd_ack <= "00"&s0ext_rd&'0'&x"0";
235
                        when x"6" => s0ext_rd_ack <= "0"&s0ext_rd&"00"&x"0";
236
                        when others => s0ext_rd_ack <= s0ext_rd&"000"&x"0";
237
                end case;
238
        end process;
239 128 jguarin200
end memblock_arch;
240
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.