OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Blame information for rev 150

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 150 jguarin200
--! @file raytrac.vhd
2
--! @brief Archivo con el RTL que describe al RayTrac en su totalidad.
3
 
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------------------
6
-- RAYTRAC
7
-- Author Julian Andres Guarin
8
-- memblock.vhd
9
-- This file is part of raytrac.
10
-- 
11
--     raytrac is free software: you can redistribute it and/or modify
12
--     it under the terms of the GNU General Public License as published by
13
--     the Free Software Foundation, either version 3 of the License, or
14
--     (at your option) any later version.
15
-- 
16
--     raytrac is distributed in the hope that it will be useful,
17
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--     GNU General Public License for more details.
20
-- 
21
--     You should have received a copy of the GNU General Public License
22
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
entity raytrac is
29
        port (
30
 
31
                clk : in std_logic;
32
                rst : in std_logic;
33
 
34
                --! Se&ntilde;al de lectura de alguna de las colas de resultados.
35
                rd      : in std_logic;
36
 
37
                --! Se&ntilde;al de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
38
                wr      : in std_logic;
39
 
40
                --! Direccion de escritura o lectura
41
                add : in std_logic_vector (12 downto 0);
42
 
43
                --! datos de entrada
44
                d       : in std_logic_vector (31 downto 0);
45
 
46
                --! Interrupciones
47
                int     : out std_logic_vector (7 downto 0);
48
 
49
                --! Salidas
50
                q : out std_logic_vector (31 downto 0)
51
 
52
 
53
        );
54
end entity;
55
 
56
architecture raytrac_arch of raytrac is
57
 
58
--! Definicion de Tipos y de Constantes
59
        constant rstMasterValue : std_logic := '0';
60
 
61
--! Definición de componentes del sistema.
62
 
63
        --! Bloque de memorias
64
        component memblock
65
        generic (
66
                width                                           : integer;
67
                blocksize                                       : integer;
68
                widthadmemblock                         : integer;
69
                external_writeable_blocks       : integer;
70
                external_readable_blocks        : integer;
71
                external_readable_widthad       : integer;
72
                external_writeable_widthad      : integer
73
        );
74
        port (
75
 
76
 
77
                clk,rst,dpfifo_rd,normfifo_rd,dpfifo_wr,normfifo_wr : in std_logic;
78
                instrfifo_rd : in std_logic;
79
                resultfifo_wr: in std_logic_vector(external_readable_blocks-1 downto 0);
80
                instrfifo_empty: out std_logic; ext_rd,ext_wr: in std_logic;
81
                ext_wr_add : in std_logic_vector(external_writeable_widthad+widthadmemblock-1 downto 0);
82
                ext_rd_add : in std_logic_vector(external_readable_widthad-1 downto 0);
83
                ext_d: in std_logic_vector(width-1 downto 0);
84
                int_d : in std_logic_vector(external_readable_blocks*width-1 downto 0);
85
                resultfifo_full  : out std_logic_vector(3 downto 0);
86
                ext_q,instrfifo_q : out std_logic_vector(width-1 downto 0);
87
                int_q : out std_logic_vector(external_writeable_blocks*width-1 downto 0);
88
                int_rd_add : in std_logic_vector(2*widthadmemblock-1 downto 0);
89
                dpfifo_d : in std_logic_vector(width*2-1 downto 0);
90
                normfifo_d : in std_logic_vector(width*3-1 downto 0);
91
                dpfifo_q : out std_logic_vector(width*2-1 downto 0);
92
                normfifo_q : out std_logic_vector(width*3-1 downto 0)
93
        );
94
        end component;
95
        --! Bloque decodificacion DataPath Control.
96
        component dpc
97
        generic (
98
                width : integer
99
        );
100
        port (
101
                clk,rst                                 : in    std_logic;
102
                paraminput                              : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
103
                prd32blko                               : in    std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores.
104
                add32blko                               : in    std_logic_vector ((04*width)-1 downto 0);        --! Salidas de los 4 sumadores.
105
                sqr32blko,inv32blko             : in    std_logic_vector (width-1 downto 0);             --! Salidas de la raiz cuadradas y el inversor.
106
                fifo32x23_q                             : in    std_logic_vector (03*width-1 downto 0);          --! Salida de la cola intermedia.
107
                fifo32x09_q                             : in    std_logic_vector (02*width-1 downto 0);  --! Salida de las colas de producto punto. 
108
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
109
                sync_chain_0                    : in    std_logic;                                                                      --! Señal de dato valido que se va por toda la cadena de sincronizacion.
110
                eoi_int                                 : in    std_logic;                                                                      --! Sennal de interrupción de final de instrucción.
111
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Señal de interrupción de final de instrucción pero esta vez va asociada a la instruccón UCA.
112
                sqr32blki,inv32blki             : out   std_logic_vector (width-1 downto 0);             --! Salidas de las 2 raices cuadradas y los 2 inversores.
113
                fifo32x26_d                             : out   std_logic_vector (03*width-1 downto 0);          --! Entrada a la cola intermedia para la normalizaci&oacute;n.
114
                fifo32x09_d                             : out   std_logic_vector (02*width-1 downto 0);          --! Entrada a las colas intermedias del producto punto.         
115
                prd32blki                               : out   std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
116
                add32blki                               : out   std_logic_vector ((08*width)-1 downto 0);        --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
117
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
118
                fifo32x09_w                             : out   std_logic;
119
                fifo32x23_w,fifo32x09_r : out   std_logic;
120
                fifo32x23_r                             : out   std_logic;
121
                resf_vector                             : in    std_logic_vector(3 downto 0);                            --! Entradas de la se&ntilde;al de full de las colas de resultados. 
122
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operaci&oacute;n que est&aacute; en curso.
123
                resultoutput                    : out   std_logic_vector ((08*width)-1 downto 0)         --! 8 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores.
124
        );
125
        end component;
126
        --! Bloque Aritmetico de Sumadores y Multiplicadores (madd)
127
        component arithblock
128
        port (
129
 
130
                clk     : in std_logic;
131
                rst : in std_logic;
132
 
133
                dpc : in std_logic;
134
 
135
                f       : in std_logic_vector (12*32-1 downto 0);
136
                a       : in std_logic_vector (8*32-1 downto 0);
137
 
138
                s       : out std_logic_vector (4*32-1 downto 0);
139
                p       : out std_logic_vector (6*32-1 downto 0)
140
 
141
        );
142
        end component;
143
        --! Bloque de Raiz Cuadrada
144
        component sqrt32
145
        port (
146
 
147
                clk     : in std_logic;
148
                rd32: in std_logic_vector(31 downto 0);
149
                sq32: out std_logic_vector(31 downto 0)
150
        );
151
        end component;
152
        --! Bloque de Inversores.
153
        component invr32
154
        port (
155
 
156
                clk             : in std_logic;
157
                dvd32   : in std_logic_vector(31 downto 0);
158
                qout32  : out std_logic_vector(31 downto 0)
159
        );
160
        end component;
161
        --! Maquina de Estados.
162
        component sm
163
        generic (
164
                width : integer ;
165
                widthadmemblock : integer
166
                --!external_readable_widthad :                          
167
        );
168
        port (
169
 
170
                --! Se&ntilde;ales normales de secuencia.
171
                clk,rst:                        in std_logic;
172
                --! Vector con las instrucción codficada
173
                instrQq:in std_logic_vector(width-1 downto 0);
174
                --! Señal de cola vacia.
175
                instrQ_empty:in std_logic;
176
 
177
 
178
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
179
                sync_chain_0,instrRdAckd:out std_logic;
180
 
181
 
182
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
183
 
184
 
185
 
186
                --! End Of Instruction Event
187
                eoi     : out std_logic;
188
 
189
                --! DataPath Control uca code.
190
                dpc_uca : out std_logic_vector (2 downto 0)
191
        );
192
        end component;
193
        --! Maquina de Interrupciones
194
        component im
195
        generic (
196
                num_events : integer ;
197
                cycles_to_wait : integer
198
        );
199
        port (
200
                clk,rst:                in std_logic;
201
                rfull_events:   in std_logic_vector(num_events-1 downto 0);      --! full results queue events
202
                eoi_events:             in std_logic_vector(num_events-1 downto 0);      --! end of instruction related events
203
                eoi_int:                out std_logic_vector(num_events-1 downto 0);--! end of instruction related interruptions
204
                rfull_int:              out std_logic_vector(num_events-1downto 0)       --! full results queue related interruptions
205
 
206
        );
207
        end component;
208
 
209
 
210
        --! Se&ntilde;ales de Memblock -> State Machine
211
        signal s_iq_empty               : std_logic;
212
        signal s_iq                             : std_logic_vector (31 downto 0);
213
 
214
 
215
        --! Se&ntilde;ales de Memblock -> Interruption Machine
216
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas se&ntilde;ales tambien entran a DPC.
217
 
218
        --! Se&ntilde;ales de Memblock -> DPC.
219
        signal s_q                              : std_logic_vector (12*32-1 downto 0);
220
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
221
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
222
 
223
        --! Se&ntilde;ales de State Machine -> Memblock
224
        signal s_adda                   : std_logic_vector (8 downto 0);
225
        signal s_addb                   : std_logic_vector (8 downto 0);
226
        signal s_iq_rd_ack              : std_logic;
227
 
228
 
229
        --! Se&ntilde;ales de State Machine -> DataPathControl
230
        signal s_sync_chain_0   : std_logic;
231
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
232
        signal s_eoi                    : std_logic;
233
 
234
        --! Se&ntilde;ales de DataPathControl -> State Machine
235
        signal s_full_r                 : std_logic;
236
 
237
 
238
        --! Se&ntilde;ales de State Machin a Interruption Machine.
239
        signal s_eoi_events             : std_logic_vector (3 downto 0);
240
 
241
        --! Se&ntilde;ales de DPC a ArithBlock
242
        signal s_f                              : std_logic_vector (12*32-1 downto 0);
243
        signal s_a                              : std_logic_vector (8*32-1 downto 0);
244
        --! Parcialmente las se&ntilde;ales de salida de los sumadores van al data path control.
245
        signal s_s                              : std_logic_vector (4*32-1 downto 0);
246
        signal s_p                              : std_logic_vector (6*32-1 downto 0);
247
 
248
        --! Se&ntilde;ales de DPC a sqrt32.
249
        signal s_rd32                   : std_logic_vector (31 downto 0);
250
        signal s_sq32                   : std_logic_vector (31 downto 0);
251
 
252
        --! Se&ntilde;ales de DPC  a invr32.
253
        signal s_dvd32                  : std_logic_vector (31 downto 0);
254
        signal s_qout32                 : std_logic_vector (31 downto 0);
255
 
256
        --! Se&ntilde que va desde DPC -> Memblock
257
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
258
        signal s_dpfifo_w               : std_logic;
259
        signal s_dpfifo_r               : std_logic;
260
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
261
        signal s_normfifo_w             : std_logic;
262
        signal s_normfifo_r             : std_logic;
263
        signal s_results_d              : std_logic_vector (8*32-1 downto 0);
264
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
265
 
266
begin
267
        --! Instanciar el bloque de memorias MEMBLOCK
268
        MemoryBlock : memblock
269
        generic map (
270
                width                                           => 32,
271
                blocksize                                       => 512,
272
                widthadmemblock                         => 9,
273
                external_writeable_blocks       => 12,
274
                external_readable_blocks        => 8,
275
                external_readable_widthad       => 3,
276
                external_writeable_widthad      => 4
277
        )
278
        port map (
279
                clk                                     => clk,
280
                rst                                     => rst,
281
                dpfifo_rd                       => s_dpfifo_r,
282
                normfifo_rd                     => s_normfifo_r,
283
                dpfifo_wr                       => s_dpfifo_w,
284
                normfifo_wr                     => s_normfifo_w,
285
                instrfifo_rd            => s_iq_rd_ack,
286
                resultfifo_wr           => s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0),
287
                instrfifo_empty         => s_iq_empty,
288
                ext_rd                          => rd,
289
                ext_wr                          => wr,
290
                ext_wr_add                      => add,
291
                ext_rd_add                      => add(12 downto 10),
292
                ext_d                           => d,
293
                resultfifo_full         => s_rfull_events,
294
                int_d                           => s_results_d,
295
                ext_q                           => q,
296
                instrfifo_q                     => s_iq,
297
                int_q                           => s_q,
298
                int_rd_add                      => s_addb&s_adda,
299
                dpfifo_d                        => s_dpfifo_d,
300
                normfifo_d                      => s_normfifo_d,
301
                dpfifo_q                        => s_dpfifo_q,
302
                normfifo_q                      => s_normfifo_q
303
        );
304
 
305
        --! Instanciar el bloque DPC
306
        DataPathControl_And_Syncronization_Block: dpc
307
        generic map (
308
                width => 32
309
        )
310
        port map (
311
 
312
                clk                             => clk,
313
                rst                             => rst,
314
                paraminput              => s_q,
315
                prd32blko               => s_p,
316
                add32blko               => s_s,
317
                sqr32blko               => s_sq32,
318
                inv32blko               => s_qout32,
319
                fifo32x23_q             => s_normfifo_q,
320
                fifo32x09_q             => s_dpfifo_q,
321
                unary                   => s_dpc_uca(2),
322
                crossprod               => s_dpc_uca(1),
323
                addsub                  => s_dpc_uca(0),
324
                sync_chain_0    => s_sync_chain_0,
325
                eoi_int                 => s_eoi,
326
                eoi_demuxed_int => s_eoi_events,
327
                sqr32blki               => s_rd32,
328
                inv32blki               => s_dvd32,
329
                fifo32x26_d             => s_normfifo_d,
330
                fifo32x09_d             => s_dpfifo_d,
331
                prd32blki               => s_f,
332
                add32blki               => s_a,
333
                resw                    => s_resultsfifo_w,
334
                fifo32x09_w             => s_dpfifo_w,
335
                fifo32x23_w             => s_normfifo_w,
336
                fifo32x09_r             => s_dpfifo_r,
337
                fifo32x23_r             => s_normfifo_r,
338
                resf_vector             => s_rfull_events,
339
                resf_event              => s_full_r,
340
                resultoutput    => s_results_d
341
        );
342
 
343
 
344
        --! Instanciar el bloque de inversion
345
        inversion_block : invr32
346
        port map (
347
                clk             => clk,
348
                dvd32   => s_dvd32,
349
                qout32  => s_qout32
350
        );
351
 
352
        --! Instanciar el bloque de raíz cuadrada.
353
        square_root : sqrt32
354
        port map (
355
                clk     => clk,
356
                rd32    => s_rd32,
357
                sq32    => s_sq32
358
        );
359
 
360
 
361
        --! Instanciar el bloque aritmético.
362
        arithmetic_block : arithblock
363
        port map (
364
                clk => clk,
365
                rst => rst,
366
                dpc => s_dpc_uca(1),
367
                f       => s_f,
368
                a       => s_a,
369
                s       => s_s,
370
                p       => s_p
371
        );
372
 
373
        --! Instanciar la maquina de interrupciones
374
        interruption_machine : im
375
        generic map (
376
                num_events              => 4,
377
                cycles_to_wait  => 1023
378
        )
379
        port map (
380
                clk                             => clk,
381
                rst                             => rst,
382
                rfull_events    => s_rfull_events,
383
                eoi_events              => s_eoi_events,
384
                eoi_int                 => int(3 downto 0),
385
                rfull_int               => int(7 downto 4)
386
 
387
        );
388
        --!Instanciar la maquina de estados
389
        state_machine : sm
390
        generic map (
391
                width => 32,
392
                widthadmemblock => 9
393
        )
394
        port map (
395
                clk                     => clk,
396
                rst                     => rst,
397
                instrQq                 => s_iq,
398
                instrQ_empty    => s_iq_empty,
399
                adda                    => s_adda,
400
                addb                    => s_addb,
401
                sync_chain_0    => s_sync_chain_0,
402
                instrRdAckd             => s_iq_rd_ack,
403
                full_r                  => s_full_r,
404
                eoi                             => s_eoi,
405
                dpc_uca                 => s_dpc_uca
406
        );
407
 
408
 
409
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.