OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Blame information for rev 151

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 150 jguarin200
--! @file raytrac.vhd
2
--! @brief Archivo con el RTL que describe al RayTrac en su totalidad.
3
 
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------------------
6
-- RAYTRAC
7
-- Author Julian Andres Guarin
8 151 jguarin200
-- Rytrac.vhd
9 150 jguarin200
-- This file is part of raytrac.
10
-- 
11
--     raytrac is free software: you can redistribute it and/or modify
12
--     it under the terms of the GNU General Public License as published by
13
--     the Free Software Foundation, either version 3 of the License, or
14
--     (at your option) any later version.
15
-- 
16
--     raytrac is distributed in the hope that it will be useful,
17
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--     GNU General Public License for more details.
20
-- 
21
--     You should have received a copy of the GNU General Public License
22
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27 151 jguarin200
use work.arithpack.all;
28 150 jguarin200
 
29
entity raytrac is
30
        port (
31
 
32
                clk : in std_logic;
33
                rst : in std_logic;
34
 
35
                --! Se&ntilde;al de lectura de alguna de las colas de resultados.
36
                rd      : in std_logic;
37
 
38
                --! Se&ntilde;al de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
39
                wr      : in std_logic;
40
 
41
                --! Direccion de escritura o lectura
42
                add : in std_logic_vector (12 downto 0);
43
 
44
                --! datos de entrada
45
                d       : in std_logic_vector (31 downto 0);
46
 
47
                --! Interrupciones
48
                int     : out std_logic_vector (7 downto 0);
49
 
50
                --! Salidas
51 151 jguarin200
                q : out std_logic_vector (31 downto 0);
52 150 jguarin200
 
53 151 jguarin200
                --! Estado Controlador de Interrupciones
54
                intCtrlState : out iCtrlState;
55
 
56
                --! Estado Maquina de Estados
57
                smState : out macState
58 150 jguarin200
 
59
        );
60
end entity;
61
 
62
architecture raytrac_arch of raytrac is
63
 
64 151 jguarin200
        --! Se&ntilde;ales de State Machine -> Memblock
65
        --!TBXSTART:SM
66
        signal s_adda                   : std_logic_vector (8 downto 0);
67
        signal s_addb                   : std_logic_vector (8 downto 0);
68
        signal s_iq_rd_ack              : std_logic;
69
        --! Se&ntilde;ales de State Machine -> DataPathControl
70
        signal s_sync_chain_0   : std_logic;
71
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
72
        signal s_eoi                    : std_logic;
73
        --! Se&ntilde;ales de State Machine -> Testbench
74
        signal s_smState                : macState;
75
        --!TBXEND
76
        --!TBXSTART:MBLK
77 150 jguarin200
        --! Se&ntilde;ales de Memblock -> State Machine
78
        signal s_iq_empty               : std_logic;
79
        signal s_iq                             : std_logic_vector (31 downto 0);
80
        --! Se&ntilde;ales de Memblock -> Interruption Machine
81
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas se&ntilde;ales tambien entran a DPC.
82
        --! Se&ntilde;ales de Memblock -> DPC.
83
        signal s_q                              : std_logic_vector (12*32-1 downto 0);
84
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
85
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
86 151 jguarin200
        --!TBXEND
87
        --!TBXSTART:SQR32
88
        --!Se&ntilde;ales de Bloque de Ra&iacute;z Cuadrada a DPC
89
        signal s_sq32                   : std_logic_vector (31 downto 0);
90
        --!TBXEND
91
        --!TBXSTART:INV32
92
        --!Se&ntilde;ales del bloque inversor a DPC.
93
        signal s_qout32                 : std_logic_vector (31 downto 0);
94
        --!TBXEND
95
        --!TBXSTART:DPC
96 150 jguarin200
        --! Se&ntilde;ales de DataPathControl -> State Machine
97
        signal s_full_r                 : std_logic;
98
        --! Se&ntilde;ales de DPC a sqrt32.
99
        signal s_rd32                   : std_logic_vector (31 downto 0);
100 151 jguarin200
        --! Se&ntilde;ales de DPC a inv32.
101
        signal s_dvd32                  : std_logic_vector (31 downto 0);
102 150 jguarin200
        --! Se&ntilde;ales de DPC  a invr32.
103
        --! Se&ntilde que va desde DPC -> Memblock
104
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
105
        signal s_dpfifo_w               : std_logic;
106
        signal s_dpfifo_r               : std_logic;
107
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
108
        signal s_normfifo_w             : std_logic;
109
        signal s_normfifo_r             : std_logic;
110
        signal s_results_d              : std_logic_vector (8*32-1 downto 0);
111
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
112 151 jguarin200
        --!Se&ntilde;ales de DPC a Interruption Machine
113
        signal s_eoi_events             : std_logic_vector (3 downto 0);
114
        --! Se&ntilde;ales de DPC a ArithBlock
115
        signal s_f                              : std_logic_vector (12*32-1 downto 0);
116
        signal s_a                              : std_logic_vector (8*32-1 downto 0);
117
        --! Parcialmente las se&ntilde;ales de salida de los sumadores van al data path control.
118
        signal s_s                              : std_logic_vector (4*32-1 downto 0);
119
        signal s_p                              : std_logic_vector (6*32-1 downto 0);
120
        --!TBXEND
121
        --!TBXSTART:IM
122
        --! Se&ntilde;ales de Interruption Machine al testbench
123
        signal s_iCtrlState             : iCtrlState;
124
        --!TBXEND       
125 150 jguarin200
begin
126 151 jguarin200
 
127
 
128
 
129
 
130
 
131 150 jguarin200
        --! Instanciar el bloque de memorias MEMBLOCK
132
        MemoryBlock : memblock
133
        generic map (
134
                width                                           => 32,
135
                blocksize                                       => 512,
136
                widthadmemblock                         => 9,
137
                external_writeable_blocks       => 12,
138
                external_readable_blocks        => 8,
139
                external_readable_widthad       => 3,
140
                external_writeable_widthad      => 4
141
        )
142
        port map (
143
                clk                                     => clk,
144
                rst                                     => rst,
145
                dpfifo_rd                       => s_dpfifo_r,
146
                normfifo_rd                     => s_normfifo_r,
147
                dpfifo_wr                       => s_dpfifo_w,
148
                normfifo_wr                     => s_normfifo_w,
149
                instrfifo_rd            => s_iq_rd_ack,
150
                resultfifo_wr           => s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0),
151
                instrfifo_empty         => s_iq_empty,
152
                ext_rd                          => rd,
153
                ext_wr                          => wr,
154
                ext_wr_add                      => add,
155
                ext_rd_add                      => add(12 downto 10),
156
                ext_d                           => d,
157
                resultfifo_full         => s_rfull_events,
158
                int_d                           => s_results_d,
159
                ext_q                           => q,
160
                instrfifo_q                     => s_iq,
161
                int_q                           => s_q,
162
                int_rd_add                      => s_addb&s_adda,
163
                dpfifo_d                        => s_dpfifo_d,
164
                normfifo_d                      => s_normfifo_d,
165
                dpfifo_q                        => s_dpfifo_q,
166
                normfifo_q                      => s_normfifo_q
167
        );
168
 
169
        --! Instanciar el bloque DPC
170
        DataPathControl_And_Syncronization_Block: dpc
171
        generic map (
172
                width => 32
173
        )
174
        port map (
175
 
176
                clk                             => clk,
177
                rst                             => rst,
178
                paraminput              => s_q,
179
                prd32blko               => s_p,
180
                add32blko               => s_s,
181
                sqr32blko               => s_sq32,
182
                inv32blko               => s_qout32,
183
                fifo32x23_q             => s_normfifo_q,
184
                fifo32x09_q             => s_dpfifo_q,
185
                unary                   => s_dpc_uca(2),
186
                crossprod               => s_dpc_uca(1),
187
                addsub                  => s_dpc_uca(0),
188
                sync_chain_0    => s_sync_chain_0,
189
                eoi_int                 => s_eoi,
190
                eoi_demuxed_int => s_eoi_events,
191
                sqr32blki               => s_rd32,
192
                inv32blki               => s_dvd32,
193
                fifo32x26_d             => s_normfifo_d,
194
                fifo32x09_d             => s_dpfifo_d,
195
                prd32blki               => s_f,
196
                add32blki               => s_a,
197
                resw                    => s_resultsfifo_w,
198
                fifo32x09_w             => s_dpfifo_w,
199
                fifo32x23_w             => s_normfifo_w,
200
                fifo32x09_r             => s_dpfifo_r,
201
                fifo32x23_r             => s_normfifo_r,
202
                resf_vector             => s_rfull_events,
203
                resf_event              => s_full_r,
204
                resultoutput    => s_results_d
205
        );
206
 
207
 
208
        --! Instanciar el bloque de inversion
209
        inversion_block : invr32
210
        port map (
211
                clk             => clk,
212
                dvd32   => s_dvd32,
213
                qout32  => s_qout32
214
        );
215
 
216 151 jguarin200
        --! Instanciar el bloque de ra&iacute;z cuadrada.
217 150 jguarin200
        square_root : sqrt32
218
        port map (
219
                clk     => clk,
220
                rd32    => s_rd32,
221
                sq32    => s_sq32
222
        );
223
 
224
 
225 151 jguarin200
        --! Instanciar el bloque aritm&eacute;tico.
226 150 jguarin200
        arithmetic_block : arithblock
227
        port map (
228
                clk => clk,
229
                rst => rst,
230
                dpc => s_dpc_uca(1),
231
                f       => s_f,
232
                a       => s_a,
233
                s       => s_s,
234
                p       => s_p
235
        );
236
 
237
        --! Instanciar la maquina de interrupciones
238
        interruption_machine : im
239
        generic map (
240
                num_events              => 4,
241
                cycles_to_wait  => 1023
242
        )
243
        port map (
244
                clk                             => clk,
245
                rst                             => rst,
246
                rfull_events    => s_rfull_events,
247
                eoi_events              => s_eoi_events,
248
                eoi_int                 => int(3 downto 0),
249 151 jguarin200
                rfull_int               => int(7 downto 4),
250
                state                   => s_iCtrlState
251 150 jguarin200
 
252
        );
253
        --!Instanciar la maquina de estados
254
        state_machine : sm
255
        generic map (
256
                width => 32,
257
                widthadmemblock => 9
258
        )
259
        port map (
260
                clk                     => clk,
261
                rst                     => rst,
262
                instrQq                 => s_iq,
263
                instrQ_empty    => s_iq_empty,
264
                adda                    => s_adda,
265
                addb                    => s_addb,
266
                sync_chain_0    => s_sync_chain_0,
267
                instrRdAckd             => s_iq_rd_ack,
268
                full_r                  => s_full_r,
269
                eoi                             => s_eoi,
270 151 jguarin200
                dpc_uca                 => s_dpc_uca,
271
                state                   => s_smState
272
 
273 150 jguarin200
        );
274
 
275
 
276
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.