OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Blame information for rev 152

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 150 jguarin200
--! @file raytrac.vhd
2
--! @brief Archivo con el RTL que describe al RayTrac en su totalidad.
3
 
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------------------
6
-- RAYTRAC
7
-- Author Julian Andres Guarin
8 151 jguarin200
-- Rytrac.vhd
9 150 jguarin200
-- This file is part of raytrac.
10
-- 
11
--     raytrac is free software: you can redistribute it and/or modify
12
--     it under the terms of the GNU General Public License as published by
13
--     the Free Software Foundation, either version 3 of the License, or
14
--     (at your option) any later version.
15
-- 
16
--     raytrac is distributed in the hope that it will be useful,
17
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--     GNU General Public License for more details.
20
-- 
21
--     You should have received a copy of the GNU General Public License
22
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27 151 jguarin200
use work.arithpack.all;
28 150 jguarin200
 
29
entity raytrac is
30
        port (
31
 
32
                clk : in std_logic;
33
                rst : in std_logic;
34
 
35
                --! Se&ntilde;al de lectura de alguna de las colas de resultados.
36
                rd      : in std_logic;
37
 
38
                --! Se&ntilde;al de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
39
                wr      : in std_logic;
40
 
41
                --! Direccion de escritura o lectura
42
                add : in std_logic_vector (12 downto 0);
43
 
44
                --! datos de entrada
45
                d       : in std_logic_vector (31 downto 0);
46
 
47
                --! Interrupciones
48
                int     : out std_logic_vector (7 downto 0);
49
 
50
                --! Salidas
51 152 jguarin200
                q : out std_logic_vector (31 downto 0)
52 150 jguarin200
 
53 151 jguarin200
 
54 150 jguarin200
 
55
        );
56
end entity;
57
 
58
architecture raytrac_arch of raytrac is
59
 
60 151 jguarin200
        --! Se&ntilde;ales de State Machine -> Memblock
61
        --!TBXSTART:SM
62
        signal s_adda                   : std_logic_vector (8 downto 0);
63
        signal s_addb                   : std_logic_vector (8 downto 0);
64
        signal s_iq_rd_ack              : std_logic;
65
        --! Se&ntilde;ales de State Machine -> DataPathControl
66
        signal s_sync_chain_0   : std_logic;
67
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
68
        signal s_eoi                    : std_logic;
69
        --! Se&ntilde;ales de State Machine -> Testbench
70
        signal s_smState                : macState;
71
        --!TBXEND
72
        --!TBXSTART:MBLK
73 150 jguarin200
        --! Se&ntilde;ales de Memblock -> State Machine
74
        signal s_iq_empty               : std_logic;
75
        signal s_iq                             : std_logic_vector (31 downto 0);
76
        --! Se&ntilde;ales de Memblock -> Interruption Machine
77
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas se&ntilde;ales tambien entran a DPC.
78
        --! Se&ntilde;ales de Memblock -> DPC.
79
        signal s_q                              : std_logic_vector (12*32-1 downto 0);
80
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
81
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
82 151 jguarin200
        --!TBXEND
83
        --!TBXSTART:SQR32
84
        --!Se&ntilde;ales de Bloque de Ra&iacute;z Cuadrada a DPC
85
        signal s_sq32                   : std_logic_vector (31 downto 0);
86
        --!TBXEND
87
        --!TBXSTART:INV32
88
        --!Se&ntilde;ales del bloque inversor a DPC.
89
        signal s_qout32                 : std_logic_vector (31 downto 0);
90
        --!TBXEND
91
        --!TBXSTART:DPC
92 150 jguarin200
        --! Se&ntilde;ales de DataPathControl -> State Machine
93
        signal s_full_r                 : std_logic;
94
        --! Se&ntilde;ales de DPC a sqrt32.
95
        signal s_rd32                   : std_logic_vector (31 downto 0);
96 151 jguarin200
        --! Se&ntilde;ales de DPC a inv32.
97
        signal s_dvd32                  : std_logic_vector (31 downto 0);
98 150 jguarin200
        --! Se&ntilde;ales de DPC  a invr32.
99
        --! Se&ntilde que va desde DPC -> Memblock
100
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
101
        signal s_dpfifo_w               : std_logic;
102
        signal s_dpfifo_r               : std_logic;
103
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
104
        signal s_normfifo_w             : std_logic;
105
        signal s_normfifo_r             : std_logic;
106
        signal s_results_d              : std_logic_vector (8*32-1 downto 0);
107
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
108 151 jguarin200
        --!Se&ntilde;ales de DPC a Interruption Machine
109
        signal s_eoi_events             : std_logic_vector (3 downto 0);
110
        --! Se&ntilde;ales de DPC a ArithBlock
111
        signal s_f                              : std_logic_vector (12*32-1 downto 0);
112
        signal s_a                              : std_logic_vector (8*32-1 downto 0);
113
        --! Parcialmente las se&ntilde;ales de salida de los sumadores van al data path control.
114
        signal s_s                              : std_logic_vector (4*32-1 downto 0);
115
        signal s_p                              : std_logic_vector (6*32-1 downto 0);
116
        --!TBXEND
117
        --!TBXSTART:IM
118
        --! Se&ntilde;ales de Interruption Machine al testbench
119
        signal s_iCtrlState             : iCtrlState;
120
        --!TBXEND       
121 150 jguarin200
begin
122 151 jguarin200
 
123
 
124
 
125
 
126
 
127 150 jguarin200
        --! Instanciar el bloque de memorias MEMBLOCK
128 152 jguarin200
        --!TBXINSTANCESTART
129 150 jguarin200
        MemoryBlock : memblock
130
        generic map (
131
                blocksize                                       => 512,
132
                external_writeable_blocks       => 12,
133
                external_readable_blocks        => 8,
134
                external_readable_widthad       => 3,
135
                external_writeable_widthad      => 4
136
        )
137
        port map (
138
                clk                                     => clk,
139
                rst                                     => rst,
140
                dpfifo_rd                       => s_dpfifo_r,
141
                normfifo_rd                     => s_normfifo_r,
142
                dpfifo_wr                       => s_dpfifo_w,
143
                normfifo_wr                     => s_normfifo_w,
144
                instrfifo_rd            => s_iq_rd_ack,
145
                resultfifo_wr           => s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0),
146
                instrfifo_empty         => s_iq_empty,
147
                ext_rd                          => rd,
148
                ext_wr                          => wr,
149
                ext_wr_add                      => add,
150
                ext_rd_add                      => add(12 downto 10),
151
                ext_d                           => d,
152
                resultfifo_full         => s_rfull_events,
153
                int_d                           => s_results_d,
154
                ext_q                           => q,
155
                instrfifo_q                     => s_iq,
156
                int_q                           => s_q,
157
                int_rd_add                      => s_addb&s_adda,
158
                dpfifo_d                        => s_dpfifo_d,
159
                normfifo_d                      => s_normfifo_d,
160
                dpfifo_q                        => s_dpfifo_q,
161
                normfifo_q                      => s_normfifo_q
162
        );
163 152 jguarin200
        --!TBXINSTANCEEND
164 150 jguarin200
 
165
        --! Instanciar el bloque DPC
166 152 jguarin200
        --!TBXINSTANCESTART
167 150 jguarin200
        DataPathControl_And_Syncronization_Block: dpc
168
        port map (
169
 
170
                clk                             => clk,
171
                rst                             => rst,
172
                paraminput              => s_q,
173
                prd32blko               => s_p,
174
                add32blko               => s_s,
175
                sqr32blko               => s_sq32,
176
                inv32blko               => s_qout32,
177
                fifo32x23_q             => s_normfifo_q,
178
                fifo32x09_q             => s_dpfifo_q,
179
                unary                   => s_dpc_uca(2),
180
                crossprod               => s_dpc_uca(1),
181
                addsub                  => s_dpc_uca(0),
182
                sync_chain_0    => s_sync_chain_0,
183
                eoi_int                 => s_eoi,
184
                eoi_demuxed_int => s_eoi_events,
185
                sqr32blki               => s_rd32,
186
                inv32blki               => s_dvd32,
187
                fifo32x26_d             => s_normfifo_d,
188
                fifo32x09_d             => s_dpfifo_d,
189
                prd32blki               => s_f,
190
                add32blki               => s_a,
191
                resw                    => s_resultsfifo_w,
192
                fifo32x09_w             => s_dpfifo_w,
193
                fifo32x23_w             => s_normfifo_w,
194
                fifo32x09_r             => s_dpfifo_r,
195
                fifo32x23_r             => s_normfifo_r,
196
                resf_vector             => s_rfull_events,
197
                resf_event              => s_full_r,
198
                resultoutput    => s_results_d
199
        );
200 152 jguarin200
        --!TBXINSTANCEEND
201 150 jguarin200
 
202
 
203
        --! Instanciar el bloque de inversion
204 152 jguarin200
        --!TBXINSTANCESTART
205 150 jguarin200
        inversion_block : invr32
206
        port map (
207
                clk             => clk,
208
                dvd32   => s_dvd32,
209
                qout32  => s_qout32
210
        );
211 152 jguarin200
        --!TBXINSTANCEEND
212 150 jguarin200
 
213 151 jguarin200
        --! Instanciar el bloque de ra&iacute;z cuadrada.
214 152 jguarin200
        --!TBXINSTANCESTART
215 150 jguarin200
        square_root : sqrt32
216
        port map (
217
                clk     => clk,
218
                rd32    => s_rd32,
219
                sq32    => s_sq32
220
        );
221 152 jguarin200
        --!TBXINSTANCEEND
222 150 jguarin200
 
223 151 jguarin200
        --! Instanciar el bloque aritm&eacute;tico.
224 152 jguarin200
        --!TBXINSTANCESTART
225 150 jguarin200
        arithmetic_block : arithblock
226
        port map (
227
                clk => clk,
228
                rst => rst,
229
                dpc => s_dpc_uca(1),
230
                f       => s_f,
231
                a       => s_a,
232
                s       => s_s,
233
                p       => s_p
234
        );
235 152 jguarin200
        --!TBXINSTANCEEND
236 150 jguarin200
 
237
        --! Instanciar la maquina de interrupciones
238 152 jguarin200
        --!TBXINSTANCESTART
239 150 jguarin200
        interruption_machine : im
240
        generic map (
241
                num_events              => 4,
242
                cycles_to_wait  => 1023
243
        )
244
        port map (
245
                clk                             => clk,
246
                rst                             => rst,
247
                rfull_events    => s_rfull_events,
248
                eoi_events              => s_eoi_events,
249
                eoi_int                 => int(3 downto 0),
250 151 jguarin200
                rfull_int               => int(7 downto 4),
251
                state                   => s_iCtrlState
252 150 jguarin200
 
253
        );
254 152 jguarin200
        --!TBXINSTANCEEND
255 150 jguarin200
        --!Instanciar la maquina de estados
256 152 jguarin200
 
257
        --!TBXINSTANCESTART
258 150 jguarin200
        state_machine : sm
259 152 jguarin200
 
260 150 jguarin200
        port map (
261
                clk                     => clk,
262
                rst                     => rst,
263
                instrQq                 => s_iq,
264
                instrQ_empty    => s_iq_empty,
265
                adda                    => s_adda,
266
                addb                    => s_addb,
267
                sync_chain_0    => s_sync_chain_0,
268
                instrRdAckd             => s_iq_rd_ack,
269
                full_r                  => s_full_r,
270
                eoi                             => s_eoi,
271 151 jguarin200
                dpc_uca                 => s_dpc_uca,
272
                state                   => s_smState
273
 
274 150 jguarin200
        );
275 152 jguarin200
        --!TBXINSTANCEEND
276 150 jguarin200
 
277
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.