OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Blame information for rev 158

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 150 jguarin200
--! @file raytrac.vhd
2
--! @brief Archivo con el RTL que describe al RayTrac en su totalidad.
3
 
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------------------
6
-- RAYTRAC
7
-- Author Julian Andres Guarin
8 151 jguarin200
-- Rytrac.vhd
9 150 jguarin200
-- This file is part of raytrac.
10
-- 
11
--     raytrac is free software: you can redistribute it and/or modify
12
--     it under the terms of the GNU General Public License as published by
13
--     the Free Software Foundation, either version 3 of the License, or
14
--     (at your option) any later version.
15
-- 
16
--     raytrac is distributed in the hope that it will be useful,
17
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--     GNU General Public License for more details.
20
-- 
21
--     You should have received a copy of the GNU General Public License
22
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27 151 jguarin200
use work.arithpack.all;
28 150 jguarin200
 
29
entity raytrac is
30
        port (
31
 
32
                clk : in std_logic;
33
                rst : in std_logic;
34
 
35
                --! Se&ntilde;al de lectura de alguna de las colas de resultados.
36
                rd      : in std_logic;
37
 
38
                --! Se&ntilde;al de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
39
                wr      : in std_logic;
40
 
41
                --! Direccion de escritura o lectura
42
                add : in std_logic_vector (12 downto 0);
43
 
44
                --! datos de entrada
45
                d       : in std_logic_vector (31 downto 0);
46
 
47
                --! Interrupciones
48
                int     : out std_logic_vector (7 downto 0);
49
 
50
                --! Salidas
51 152 jguarin200
                q : out std_logic_vector (31 downto 0)
52 150 jguarin200
 
53 151 jguarin200
 
54 150 jguarin200
 
55
        );
56
end entity;
57
 
58
architecture raytrac_arch of raytrac is
59
 
60 151 jguarin200
        --! Se&ntilde;ales de State Machine -> Memblock
61
        --!TBXSTART:SM
62 157 jguarin200
        signal s_int_rd_add             : std_logic_vector (17 downto 0);
63 151 jguarin200
        signal s_adda                   : std_logic_vector (8 downto 0);
64
        signal s_addb                   : std_logic_vector (8 downto 0);
65
        signal s_iq_rd_ack              : std_logic;
66
        --! Se&ntilde;ales de State Machine -> DataPathControl
67
        signal s_sync_chain_0   : std_logic;
68
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
69
        signal s_eoi                    : std_logic;
70
        --! Se&ntilde;ales de State Machine -> Testbench
71
        signal s_smState                : macState;
72
        --!TBXEND
73
        --!TBXSTART:MBLK
74 150 jguarin200
        --! Se&ntilde;ales de Memblock -> State Machine
75
        signal s_iq_empty               : std_logic;
76
        signal s_iq                             : std_logic_vector (31 downto 0);
77
        --! Se&ntilde;ales de Memblock -> Interruption Machine
78
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas se&ntilde;ales tambien entran a DPC.
79
        --! Se&ntilde;ales de Memblock -> DPC.
80 158 jguarin200
        signal s_q                              : vectorblock12;
81 150 jguarin200
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
82
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
83 151 jguarin200
        --!TBXEND
84
        --!TBXSTART:SQR32
85
        --!Se&ntilde;ales de Bloque de Ra&iacute;z Cuadrada a DPC
86
        signal s_sq32                   : std_logic_vector (31 downto 0);
87
        --!TBXEND
88
        --!TBXSTART:INV32
89
        --!Se&ntilde;ales del bloque inversor a DPC.
90
        signal s_qout32                 : std_logic_vector (31 downto 0);
91
        --!TBXEND
92
        --!TBXSTART:DPC
93 150 jguarin200
        --! Se&ntilde;ales de DataPathControl -> State Machine
94
        signal s_full_r                 : std_logic;
95
        --! Se&ntilde;ales de DPC a sqrt32.
96
        signal s_rd32                   : std_logic_vector (31 downto 0);
97 151 jguarin200
        --! Se&ntilde;ales de DPC a inv32.
98
        signal s_dvd32                  : std_logic_vector (31 downto 0);
99 150 jguarin200
        --! Se&ntilde;ales de DPC  a invr32.
100
        --! Se&ntilde que va desde DPC -> Memblock
101 157 jguarin200
        signal s_resultfifo_wr  : std_logic_vector (7 downto 0);
102 150 jguarin200
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
103
        signal s_dpfifo_w               : std_logic;
104
        signal s_dpfifo_r               : std_logic;
105
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
106
        signal s_normfifo_w             : std_logic;
107
        signal s_normfifo_r             : std_logic;
108 158 jguarin200
        signal s_results_d              : vectorblock08;
109 150 jguarin200
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
110 151 jguarin200
        --!Se&ntilde;ales de DPC a Interruption Machine
111
        signal s_eoi_events             : std_logic_vector (3 downto 0);
112
        --! Se&ntilde;ales de DPC a ArithBlock
113 158 jguarin200
        signal s_f                              : vectorblock12;
114
        signal s_a                              : vectorblock08;
115 151 jguarin200
        --! Parcialmente las se&ntilde;ales de salida de los sumadores van al data path control.
116 158 jguarin200
        signal s_s                              : vectorblock04;
117
        signal s_p                              : vectorblock06;
118 151 jguarin200
        --!TBXEND
119
        --!TBXSTART:IM
120
        --! Se&ntilde;ales de Interruption Machine al testbench
121
        signal s_iCtrlState             : iCtrlState;
122
        --!TBXEND       
123 150 jguarin200
begin
124 151 jguarin200
 
125
 
126
 
127
 
128
 
129 150 jguarin200
        --! Instanciar el bloque de memorias MEMBLOCK
130 157 jguarin200
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
131
        s_int_rd_add  <= s_addb&s_adda;
132 152 jguarin200
        --!TBXINSTANCESTART
133 150 jguarin200
        MemoryBlock : memblock
134
        generic map (
135
                blocksize                                       => 512,
136
                external_readable_widthad       => 3,
137
                external_writeable_widthad      => 4
138
        )
139
        port map (
140
                clk                                     => clk,
141
                rst                                     => rst,
142
                dpfifo_rd                       => s_dpfifo_r,
143
                normfifo_rd                     => s_normfifo_r,
144
                dpfifo_wr                       => s_dpfifo_w,
145
                normfifo_wr                     => s_normfifo_w,
146
                instrfifo_rd            => s_iq_rd_ack,
147 157 jguarin200
                resultfifo_wr           => s_resultfifo_wr,
148 150 jguarin200
                instrfifo_empty         => s_iq_empty,
149
                ext_rd                          => rd,
150
                ext_wr                          => wr,
151
                ext_wr_add                      => add,
152
                ext_rd_add                      => add(12 downto 10),
153
                ext_d                           => d,
154
                resultfifo_full         => s_rfull_events,
155
                int_d                           => s_results_d,
156
                ext_q                           => q,
157
                instrfifo_q                     => s_iq,
158
                int_q                           => s_q,
159 157 jguarin200
                int_rd_add                      => s_int_rd_add,
160 150 jguarin200
                dpfifo_d                        => s_dpfifo_d,
161
                normfifo_d                      => s_normfifo_d,
162
                dpfifo_q                        => s_dpfifo_q,
163
                normfifo_q                      => s_normfifo_q
164
        );
165 152 jguarin200
        --!TBXINSTANCEEND
166 150 jguarin200
 
167
        --! Instanciar el bloque DPC
168 152 jguarin200
        --!TBXINSTANCESTART
169 150 jguarin200
        DataPathControl_And_Syncronization_Block: dpc
170
        port map (
171
 
172
                clk                             => clk,
173
                rst                             => rst,
174
                paraminput              => s_q,
175
                prd32blko               => s_p,
176
                add32blko               => s_s,
177
                sqr32blko               => s_sq32,
178
                inv32blko               => s_qout32,
179
                fifo32x23_q             => s_normfifo_q,
180
                fifo32x09_q             => s_dpfifo_q,
181
                unary                   => s_dpc_uca(2),
182
                crossprod               => s_dpc_uca(1),
183
                addsub                  => s_dpc_uca(0),
184
                sync_chain_0    => s_sync_chain_0,
185
                eoi_int                 => s_eoi,
186
                eoi_demuxed_int => s_eoi_events,
187
                sqr32blki               => s_rd32,
188
                inv32blki               => s_dvd32,
189
                fifo32x26_d             => s_normfifo_d,
190
                fifo32x09_d             => s_dpfifo_d,
191
                prd32blki               => s_f,
192
                add32blki               => s_a,
193
                resw                    => s_resultsfifo_w,
194
                fifo32x09_w             => s_dpfifo_w,
195
                fifo32x23_w             => s_normfifo_w,
196
                fifo32x09_r             => s_dpfifo_r,
197
                fifo32x23_r             => s_normfifo_r,
198
                resf_vector             => s_rfull_events,
199
                resf_event              => s_full_r,
200
                resultoutput    => s_results_d
201
        );
202 152 jguarin200
        --!TBXINSTANCEEND
203 150 jguarin200
 
204
 
205
        --! Instanciar el bloque de inversion
206 152 jguarin200
        --!TBXINSTANCESTART
207 150 jguarin200
        inversion_block : invr32
208
        port map (
209
                clk             => clk,
210
                dvd32   => s_dvd32,
211
                qout32  => s_qout32
212
        );
213 152 jguarin200
        --!TBXINSTANCEEND
214 150 jguarin200
 
215 151 jguarin200
        --! Instanciar el bloque de ra&iacute;z cuadrada.
216 152 jguarin200
        --!TBXINSTANCESTART
217 150 jguarin200
        square_root : sqrt32
218
        port map (
219
                clk     => clk,
220
                rd32    => s_rd32,
221
                sq32    => s_sq32
222
        );
223 152 jguarin200
        --!TBXINSTANCEEND
224 150 jguarin200
 
225 151 jguarin200
        --! Instanciar el bloque aritm&eacute;tico.
226 152 jguarin200
        --!TBXINSTANCESTART
227 150 jguarin200
        arithmetic_block : arithblock
228
        port map (
229
                clk => clk,
230
                rst => rst,
231
                dpc => s_dpc_uca(1),
232
                f       => s_f,
233
                a       => s_a,
234
                s       => s_s,
235
                p       => s_p
236
        );
237 152 jguarin200
        --!TBXINSTANCEEND
238 150 jguarin200
 
239
        --! Instanciar la maquina de interrupciones
240 152 jguarin200
        --!TBXINSTANCESTART
241 150 jguarin200
        interruption_machine : im
242
        generic map (
243
                num_events              => 4,
244
                cycles_to_wait  => 1023
245
        )
246
        port map (
247
                clk                             => clk,
248
                rst                             => rst,
249
                rfull_events    => s_rfull_events,
250
                eoi_events              => s_eoi_events,
251
                eoi_int                 => int(3 downto 0),
252 151 jguarin200
                rfull_int               => int(7 downto 4),
253
                state                   => s_iCtrlState
254 150 jguarin200
 
255
        );
256 152 jguarin200
        --!TBXINSTANCEEND
257 150 jguarin200
        --!Instanciar la maquina de estados
258 152 jguarin200
 
259
        --!TBXINSTANCESTART
260 150 jguarin200
        state_machine : sm
261 152 jguarin200
 
262 150 jguarin200
        port map (
263
                clk                     => clk,
264
                rst                     => rst,
265
                instrQq                 => s_iq,
266
                instrQ_empty    => s_iq_empty,
267
                adda                    => s_adda,
268
                addb                    => s_addb,
269
                sync_chain_0    => s_sync_chain_0,
270
                instrRdAckd             => s_iq_rd_ack,
271
                full_r                  => s_full_r,
272
                eoi                             => s_eoi,
273 151 jguarin200
                dpc_uca                 => s_dpc_uca,
274
                state                   => s_smState
275
 
276 150 jguarin200
        );
277 152 jguarin200
        --!TBXINSTANCEEND
278 150 jguarin200
 
279
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.