OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Blame information for rev 163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 150 jguarin200
--! @file raytrac.vhd
2
--! @brief Archivo con el RTL que describe al RayTrac en su totalidad.
3
 
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------------------
6
-- RAYTRAC
7
-- Author Julian Andres Guarin
8 151 jguarin200
-- Rytrac.vhd
9 150 jguarin200
-- This file is part of raytrac.
10
-- 
11
--     raytrac is free software: you can redistribute it and/or modify
12
--     it under the terms of the GNU General Public License as published by
13
--     the Free Software Foundation, either version 3 of the License, or
14
--     (at your option) any later version.
15
-- 
16
--     raytrac is distributed in the hope that it will be useful,
17
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--     GNU General Public License for more details.
20
-- 
21
--     You should have received a copy of the GNU General Public License
22
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27 151 jguarin200
use work.arithpack.all;
28 150 jguarin200
 
29
entity raytrac is
30
        port (
31
 
32
                clk : in std_logic;
33
                rst : in std_logic;
34
 
35
                --! Se&ntilde;al de lectura de alguna de las colas de resultados.
36
                rd      : in std_logic;
37
 
38
                --! Se&ntilde;al de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
39
                wr      : in std_logic;
40
 
41
                --! Direccion de escritura o lectura
42
                add : in std_logic_vector (12 downto 0);
43
 
44
                --! datos de entrada
45
                d       : in std_logic_vector (31 downto 0);
46
 
47
                --! Interrupciones
48
                int     : out std_logic_vector (7 downto 0);
49
 
50
                --! Salidas
51 152 jguarin200
                q : out std_logic_vector (31 downto 0)
52 150 jguarin200
 
53 151 jguarin200
 
54 150 jguarin200
 
55
        );
56
end entity;
57
 
58
architecture raytrac_arch of raytrac is
59
 
60 151 jguarin200
        --! Se&ntilde;ales de State Machine -> Memblock
61
        --!TBXSTART:SM
62 157 jguarin200
        signal s_int_rd_add             : std_logic_vector (17 downto 0);
63 151 jguarin200
        signal s_adda                   : std_logic_vector (8 downto 0);
64
        signal s_addb                   : std_logic_vector (8 downto 0);
65
        signal s_iq_rd_ack              : std_logic;
66
        --! Se&ntilde;ales de State Machine -> DataPathControl
67
        signal s_sync_chain_0   : std_logic;
68
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
69
        signal s_eoi                    : std_logic;
70 163 jguarin200
        signal s_sign                   : std_logic;
71 161 jguarin200
        --!TBXEND
72 151 jguarin200
        --! Se&ntilde;ales de State Machine -> Testbench
73
        signal s_smState                : macState;
74 161 jguarin200
 
75
 
76
 
77
 
78
 
79
 
80 151 jguarin200
        --!TBXSTART:MBLK
81 150 jguarin200
        --! Se&ntilde;ales de Memblock -> State Machine
82
        signal s_iq_empty               : std_logic;
83
        signal s_iq                             : std_logic_vector (31 downto 0);
84
        --! Se&ntilde;ales de Memblock -> Interruption Machine
85
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas se&ntilde;ales tambien entran a DPC.
86
        --! Se&ntilde;ales de Memblock -> DPC.
87 158 jguarin200
        signal s_q                              : vectorblock12;
88 150 jguarin200
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
89
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
90 151 jguarin200
        --!TBXEND
91 160 jguarin200
        --!TXBXSTART:SQR32
92 151 jguarin200
        --!Se&ntilde;ales de Bloque de Ra&iacute;z Cuadrada a DPC
93
        signal s_sq32                   : std_logic_vector (31 downto 0);
94
        --!TBXEND
95 160 jguarin200
        --!TXBXSTART:INV32
96 151 jguarin200
        --!Se&ntilde;ales del bloque inversor a DPC.
97
        signal s_qout32                 : std_logic_vector (31 downto 0);
98
        --!TBXEND
99 160 jguarin200
        --!TXBXSTART:DPC
100 150 jguarin200
        --! Se&ntilde;ales de DataPathControl -> State Machine
101
        signal s_full_r                 : std_logic;
102
        --! Se&ntilde;ales de DPC a sqrt32.
103
        signal s_rd32                   : std_logic_vector (31 downto 0);
104 151 jguarin200
        --! Se&ntilde;ales de DPC a inv32.
105
        signal s_dvd32                  : std_logic_vector (31 downto 0);
106 150 jguarin200
        --! Se&ntilde;ales de DPC  a invr32.
107
        --! Se&ntilde que va desde DPC -> Memblock
108 157 jguarin200
        signal s_resultfifo_wr  : std_logic_vector (7 downto 0);
109 150 jguarin200
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
110
        signal s_dpfifo_w               : std_logic;
111
        signal s_dpfifo_r               : std_logic;
112
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
113
        signal s_normfifo_w             : std_logic;
114
        signal s_normfifo_r             : std_logic;
115 158 jguarin200
        signal s_results_d              : vectorblock08;
116 150 jguarin200
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
117 151 jguarin200
        --!Se&ntilde;ales de DPC a Interruption Machine
118
        signal s_eoi_events             : std_logic_vector (3 downto 0);
119
        --! Se&ntilde;ales de DPC a ArithBlock
120 158 jguarin200
        signal s_f                              : vectorblock12;
121
        signal s_a                              : vectorblock08;
122 151 jguarin200
        --! Parcialmente las se&ntilde;ales de salida de los sumadores van al data path control.
123 158 jguarin200
        signal s_s                              : vectorblock04;
124
        signal s_p                              : vectorblock06;
125 151 jguarin200
        --!TBXEND
126
        --!TBXSTART:IM
127
        --! Se&ntilde;ales de Interruption Machine al testbench
128
        signal s_iCtrlState             : iCtrlState;
129
        --!TBXEND       
130 150 jguarin200
begin
131 151 jguarin200
 
132
 
133
 
134 163 jguarin200
        --! Signo de los bloques de suma
135
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
136 150 jguarin200
        --! Instanciar el bloque de memorias MEMBLOCK
137 157 jguarin200
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
138
        s_int_rd_add  <= s_addb&s_adda;
139 152 jguarin200
        --!TBXINSTANCESTART
140 150 jguarin200
        MemoryBlock : memblock
141
        generic map (
142
                blocksize                                       => 512,
143
                external_readable_widthad       => 3,
144
                external_writeable_widthad      => 4
145
        )
146
        port map (
147
                clk                                     => clk,
148
                rst                                     => rst,
149
                dpfifo_rd                       => s_dpfifo_r,
150
                normfifo_rd                     => s_normfifo_r,
151
                dpfifo_wr                       => s_dpfifo_w,
152
                normfifo_wr                     => s_normfifo_w,
153
                instrfifo_rd            => s_iq_rd_ack,
154 157 jguarin200
                resultfifo_wr           => s_resultfifo_wr,
155 150 jguarin200
                instrfifo_empty         => s_iq_empty,
156
                ext_rd                          => rd,
157
                ext_wr                          => wr,
158
                ext_wr_add                      => add,
159
                ext_rd_add                      => add(12 downto 10),
160
                ext_d                           => d,
161
                resultfifo_full         => s_rfull_events,
162
                int_d                           => s_results_d,
163
                ext_q                           => q,
164
                instrfifo_q                     => s_iq,
165
                int_q                           => s_q,
166 157 jguarin200
                int_rd_add                      => s_int_rd_add,
167 150 jguarin200
                dpfifo_d                        => s_dpfifo_d,
168
                normfifo_d                      => s_normfifo_d,
169
                dpfifo_q                        => s_dpfifo_q,
170
                normfifo_q                      => s_normfifo_q
171
        );
172 152 jguarin200
        --!TBXINSTANCEEND
173 150 jguarin200
 
174
        --! Instanciar el bloque DPC
175 152 jguarin200
        --!TBXINSTANCESTART
176 150 jguarin200
        DataPathControl_And_Syncronization_Block: dpc
177
        port map (
178
 
179
                clk                             => clk,
180
                rst                             => rst,
181
                paraminput              => s_q,
182
                prd32blko               => s_p,
183
                add32blko               => s_s,
184
                sqr32blko               => s_sq32,
185
                inv32blko               => s_qout32,
186
                fifo32x23_q             => s_normfifo_q,
187
                fifo32x09_q             => s_dpfifo_q,
188
                unary                   => s_dpc_uca(2),
189
                crossprod               => s_dpc_uca(1),
190
                addsub                  => s_dpc_uca(0),
191
                sync_chain_0    => s_sync_chain_0,
192
                eoi_int                 => s_eoi,
193
                eoi_demuxed_int => s_eoi_events,
194
                sqr32blki               => s_rd32,
195
                inv32blki               => s_dvd32,
196
                fifo32x26_d             => s_normfifo_d,
197
                fifo32x09_d             => s_dpfifo_d,
198
                prd32blki               => s_f,
199
                add32blki               => s_a,
200
                resw                    => s_resultsfifo_w,
201
                fifo32x09_w             => s_dpfifo_w,
202
                fifo32x23_w             => s_normfifo_w,
203
                fifo32x09_r             => s_dpfifo_r,
204
                fifo32x23_r             => s_normfifo_r,
205
                resf_vector             => s_rfull_events,
206
                resf_event              => s_full_r,
207
                resultoutput    => s_results_d
208
        );
209 152 jguarin200
        --!TBXINSTANCEEND
210 150 jguarin200
 
211
 
212
        --! Instanciar el bloque de inversion
213 152 jguarin200
        --!TBXINSTANCESTART
214 150 jguarin200
        inversion_block : invr32
215
        port map (
216
                clk             => clk,
217
                dvd32   => s_dvd32,
218
                qout32  => s_qout32
219
        );
220 152 jguarin200
        --!TBXINSTANCEEND
221 150 jguarin200
 
222 151 jguarin200
        --! Instanciar el bloque de ra&iacute;z cuadrada.
223 152 jguarin200
        --!TBXINSTANCESTART
224 150 jguarin200
        square_root : sqrt32
225
        port map (
226
                clk     => clk,
227
                rd32    => s_rd32,
228
                sq32    => s_sq32
229
        );
230 152 jguarin200
        --!TBXINSTANCEEND
231 150 jguarin200
 
232 151 jguarin200
        --! Instanciar el bloque aritm&eacute;tico.
233 152 jguarin200
        --!TBXINSTANCESTART
234 150 jguarin200
        arithmetic_block : arithblock
235
        port map (
236
                clk => clk,
237
                rst => rst,
238 163 jguarin200
                dpc => s_sign,
239 150 jguarin200
                f       => s_f,
240
                a       => s_a,
241
                s       => s_s,
242
                p       => s_p
243
        );
244 152 jguarin200
        --!TBXINSTANCEEND
245 150 jguarin200
 
246
        --! Instanciar la maquina de interrupciones
247 152 jguarin200
        --!TBXINSTANCESTART
248 150 jguarin200
        interruption_machine : im
249
        generic map (
250
                num_events              => 4,
251
                cycles_to_wait  => 1023
252
        )
253
        port map (
254
                clk                             => clk,
255
                rst                             => rst,
256
                rfull_events    => s_rfull_events,
257
                eoi_events              => s_eoi_events,
258
                eoi_int                 => int(3 downto 0),
259 151 jguarin200
                rfull_int               => int(7 downto 4),
260
                state                   => s_iCtrlState
261 150 jguarin200
 
262
        );
263 152 jguarin200
        --!TBXINSTANCEEND
264 150 jguarin200
        --!Instanciar la maquina de estados
265 152 jguarin200
 
266
        --!TBXINSTANCESTART
267 150 jguarin200
        state_machine : sm
268 152 jguarin200
 
269 150 jguarin200
        port map (
270
                clk                     => clk,
271
                rst                     => rst,
272
                instrQq                 => s_iq,
273
                instrQ_empty    => s_iq_empty,
274
                adda                    => s_adda,
275
                addb                    => s_addb,
276
                sync_chain_0    => s_sync_chain_0,
277
                instrRdAckd             => s_iq_rd_ack,
278
                full_r                  => s_full_r,
279
                eoi                             => s_eoi,
280 151 jguarin200
                dpc_uca                 => s_dpc_uca,
281
                state                   => s_smState
282
 
283 150 jguarin200
        );
284 152 jguarin200
        --!TBXINSTANCEEND
285 150 jguarin200
 
286
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.