OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sm.vhd] - Blame information for rev 147

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 134 jguarin200
--! @file sm.vhd
2
--! @brief Maquina de Estados. Controla la operación interna y genera los mecanismos de sincronización con el exterior (interrupciones). 
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- sm.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
 
24
library ieee;
25
use ieee.std_logic_1164.all;
26 139 jguarin200
use ieee.std_logic_unsigned.all;
27 134 jguarin200
 
28
 
29
 
30
entity sm is
31 142 jguarin200
        generic (
32
                width : integer := 32;
33
                widthadmemblock : integer := 9
34
                --!external_readable_widthad :                          
35 145 jguarin200
        );
36 134 jguarin200
        port (
37
 
38 145 jguarin200
                --! Se&ntilde;ales normales de secuencia.
39
                clk,rst:                        in std_logic;
40 147 jguarin200
                --! Vector con las instrucción codficada
41 142 jguarin200
                instrQq:in std_logic_vector(width-1 downto 0);
42 147 jguarin200
                --! Señal de cola vacia.
43 145 jguarin200
                instrQ_empty:in std_logic;
44 142 jguarin200
 
45 145 jguarin200
 
46
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
47
                sync_chain_0,instrRdAckd:out std_logic;
48 142 jguarin200
 
49 147 jguarin200
 
50 145 jguarin200
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
51
 
52 142 jguarin200
 
53
 
54 145 jguarin200
 
55 142 jguarin200
                --! DataPath Control uca code.
56 145 jguarin200
                dpc_uca : out std_logic_vector (2 downto 0)
57 142 jguarin200
 
58
 
59 134 jguarin200
        );
60
end entity;
61 139 jguarin200
 
62
architecture sm_arch of sm is
63
 
64 145 jguarin200
        type macState is (LOAD_INSTRUCTION,FLUSH_ARITH_PIPELINE,EXECUTE_INSTRUCTION);
65
        --! LOAD_INSTRUCTION: Estado en el que se espera que en la cola de instrucciones haya una instrucción para ejecutar.
66
        --! EXECUTE_INSTRUCTION: Estado en el que se ejecuta la instrucci&oacute;n de la cola de instrucciones.
67
        --! FLUSH_ARITH_PIPELINE: Estado en el que se espera un número específico de ciclos de reloj, para que se desocupe el pipeline aritmético.
68
 
69 139 jguarin200
        signal state : macState;
70
        constant rstMasterValue : std_logic:='0';
71
 
72 142 jguarin200
        component customCounter
73
        generic (
74 145 jguarin200
                EOBFLAG         : string ;
75
                ZEROFLAG        : string ;
76
                BACKWARDS       : string ;
77
                EQUALFLAG       : string ;
78
                subwidth        : integer;
79
                width           : integer
80 142 jguarin200
 
81
        );
82
        port (
83
                clk,rst,go,set  : in std_logic;
84 145 jguarin200
                setValue,cmpBlockValue          : in std_Logic_vector(width-1 downto subwidth);
85
                zero_flag,eob_flag,eq_flag      : out std_logic;
86 142 jguarin200
                count                   : out std_logic_vector(width-1 downto 0)
87 145 jguarin200
        );
88
        end component;
89 139 jguarin200
 
90 145 jguarin200
        signal s_instr_uca:     std_logic_vector(2 downto 0);
91
        signal s_dpc_uca:               std_logic_vector(2 downto 0);
92
        signal s_block_start_a: std_logic_vector(4 downto 0);
93
        signal s_block_start_b: std_logic_vector(4 downto 0);
94
        signal s_block_end_a:   std_logic_vector(4 downto 0);
95
        signal s_block_end_b:   std_logic_vector(4 downto 0);
96
        signal s_combinatory:   std_logic;
97
        signal s_delay_field:   std_logic_vector(7 downto 0);
98
        signal s_set_b:                 std_logic;                                              --! Se&ntilde;al para colocar un valor arbitrario en el contador B.
99
        signal s_set_a:                 std_logic;
100
        signal s_set_dly:               std_logic;
101
        signal s_go_b:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando B/D.
102
        signal s_go_a:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando A/C. 
103
        signal s_go_delay:              std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de delay, para el flush del pipeline aritm&eacute;tico.
104
        signal s_zeroFlag_delay:std_logic;                                              --! Bandera de cero del contador delay. 
105
        signal s_eq_b,s_eq_a:   std_logic;      --! Indica cuando se est&aacute; leyendo el &uacute;ltimo bloque de memoria con operandos de entrada de a y de b respectivamente. 
106
        signal s_eb_b,s_eb_a:   std_logic;      --! Indica que se est&aacute; leyendo en memoria el &uacute;ltimo operando del bloque actual, b o a, respectivamente.
107
 
108 134 jguarin200
begin
109 145 jguarin200
        --! Código UCA, pero en la etapa DPC: La diferencia es que UCA en la etapa DPC, decodifica el datapath dentro del pipeline aritmético.
110
        dpc_uca <= s_dpc_uca;
111 142 jguarin200
 
112 145 jguarin200
 
113
        --! Bloques asignados en la instrucci´øn
114 142 jguarin200
        s_block_start_a <= instrQq(width-4 downto width-8);
115
        s_block_end_a <= instrQq(width-9 downto width-13);
116 139 jguarin200
 
117 145 jguarin200
        s_block_start_b <= instrQq(width-14 downto width-18);
118
        s_block_end_b <= instrQq(width-19 downto width-23);
119
 
120
        --! Campo que define si la instrucción es combinatoria
121
        s_combinatory <= instrQq(width-24);
122
 
123
        --! Campo que define cuantos clocks debe esperar el sistema, despues de que se ejecuta una instrucción, para que el pipeline aritmético quede vacio.
124
        s_delay_field <= instrQq(width-25 downto width-32);
125
 
126
        --! UCA code, código con la instrucción a ejecutar. 
127
        s_instr_uca <= instrQq(31 downto 29);
128
 
129 142 jguarin200
        --! Address Counters
130
        counterA:customCounter
131 145 jguarin200
        generic map ("YES","NO","NO","YES",4,9)
132
        port map (clk,rst,s_go_a,s_set_a,s_block_start_a,s_block_end_a,open,s_eb_a,s_eq_a,adda);
133 142 jguarin200
        counterB:customCounter
134 145 jguarin200
        generic map ("YES","NO","NO","YES",4,9)
135
        port map (clk,rst,s_go_b,s_set_b,s_block_start_b,s_block_end_b,open,s_eb_b,s_eq_b,addb);
136
        counterDly:customCounter
137
        generic map("NO","YES","YES","NO",0,5)
138
        port map (clk,rst,s_go_delay,s_set_dly,s_delay_field(4 downto 0),"00000",s_zeroFlag_delay,open,open,open);
139 139 jguarin200
 
140
 
141 145 jguarin200
        sm_comb:
142
        process (state, full_r,s_eb_b,s_combinatory,s_zeroFlag_delay,s_eq_b,s_eb_a,s_eq_a,instrQ_empty)
143
        begin
144
                --!Se&ntilde;al de play/pause del contador de direcciones para el par&aacute;metro B/D.
145
                s_go_b <= not(full_r and s_eb_b);
146 139 jguarin200
 
147 145 jguarin200
                --!Se&ntilde;al de play/pause del contador de direcciones para el par&aacute;metro A/C.
148
                if s_combinatory='0' then
149
                        s_go_a <= not(full_r and s_eb_b);
150
 
151
                else
152
                        s_go_a <= not(full_r) and s_eb_b and s_eq_b;
153
                end if;
154
 
155
                --!Se&ntilde;al de play/pause del contador del arithmetic pipeline flush counter.
156
                s_go_delay  <= not(s_zeroFlag_delay);
157
 
158
                --! Si estamos en el final de la instrucción, "descargamos" esta de la máquina de estados con acknowledge read.
159
                if s_eb_b='1' and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' and state=EXECUTE_INSTRUCTION then
160
                        instrRdAckd <= '1';
161
                else
162
                        instrRdAckd <= '0';
163
                end if;
164
 
165
                if (s_eb_a='1' and s_eq_a='1') or state=LOAD_INSTRUCTION or state=FLUSH_ARITH_PIPELINE then
166
                        s_set_a <= '1';
167
                else
168
                        s_set_a <= '0';
169
                end if;
170
 
171
 
172
 
173
                if (s_eb_b='1' and s_eq_b='1') or state=LOAD_INSTRUCTION or state=FLUSH_ARITH_PIPELINE then
174
                        s_set_b <= '1';
175
                else
176
                        s_set_b <= '0';
177
                end if;
178
 
179
        end process;
180
 
181 139 jguarin200
        sm_proc:
182 145 jguarin200
        process (clk,rst,state, full_r,s_eb_b,s_combinatory,s_zeroFlag_delay,s_eq_b,s_eb_a,s_eq_a,instrQ_empty)
183 139 jguarin200
        begin
184 145 jguarin200
 
185 139 jguarin200
                if rst=rstMasterValue then
186 145 jguarin200
 
187
                        state <= LOAD_INSTRUCTION;
188
                        s_set_dly <= '1';
189
                        sync_chain_0 <= '0';
190
                        s_dpc_uca <= (others => '0');
191
 
192
 
193 142 jguarin200
                elsif clk='1' and clk'event then
194 139 jguarin200
 
195
                        case state is
196 142 jguarin200
 
197 145 jguarin200
                                --! Cargar la siguiente instrucción. 
198
                                when LOAD_INSTRUCTION =>
199
 
200
                                        if instrQ_empty='0' and full_r='0' then
201 139 jguarin200
 
202 145 jguarin200
                                                --! Siguiente estado: Ejecutar la instrucción.  
203
                                                state <= EXECUTE_INSTRUCTION;
204
 
205
                                                --! Asignar el código UCA para que comience la decodificación.
206
                                                s_dpc_uca <= s_instr_uca;
207
 
208
                                                --! Validar el siguiente dato dentro del pipeline aritmético.
209
                                                sync_chain_0 <= '1';
210
 
211
                                                --! En el estado EXECUTE, el valor del contador de delay se debe mantener fijo, y puesto en el valor de delay que contiene la instruccion.
212
                                                s_set_dly <= '1';
213
 
214
 
215
 
216
                                        end if;
217
 
218
                                --! Ejecución de la instruccion                
219
                                when EXECUTE_INSTRUCTION =>
220
 
221
 
222
                                        if s_eb_b='1'and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' then  --! Revisar si es el fin de la instruccion
223
 
224
                                                --!Ya no ingresaran mas datos al pipeline aritmético, invalidar.
225
                                                sync_chain_0 <= '0';
226
 
227
                                                if s_zeroFlag_delay='1' then
228
 
229
                                                        state <= LOAD_INSTRUCTION;
230
                                                        s_set_dly <= '1';
231 142 jguarin200
 
232 145 jguarin200
 
233
                                                else
234
 
235
                                                        state <= FLUSH_ARITH_PIPELINE;
236
                                                        s_set_dly <= '0';
237 142 jguarin200
 
238 145 jguarin200
                                                end if;
239
 
240
                                        --! Invalidar/validar datos dentro del pipeline aritmético.
241
                                        elsif s_eb_b='1' and full_r='1' then
242
                                                --! Invalidar el siguiente dato dentro del pipeline aritmético.
243
                                                sync_chain_0 <= '0';
244 142 jguarin200
                                        else
245 145 jguarin200
                                                sync_chain_0 <= '1';
246
                                        end if;
247
 
248
                                --! Ejecución de la instrucción               
249
                                when FLUSH_ARITH_PIPELINE =>
250
                                        --! Este estado permanece así hasta que, haya una instrucción 
251
                                        if s_zeroFlag_delay='1' then
252 142 jguarin200
 
253 145 jguarin200
                                                state <= LOAD_INSTRUCTION;
254
                                                s_set_dly <= '1';
255
 
256
 
257
                                        end if;
258
 
259
                                when others => null;
260
 
261 139 jguarin200
                        end case;
262
                end if;
263
        end process;
264 142 jguarin200
 
265 134 jguarin200
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.