OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sm.vhd] - Blame information for rev 153

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 134 jguarin200
--! @file sm.vhd
2
--! @brief Maquina de Estados. Controla la operación interna y genera los mecanismos de sincronización con el exterior (interrupciones). 
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- sm.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
 
24
library ieee;
25
use ieee.std_logic_1164.all;
26 139 jguarin200
use ieee.std_logic_unsigned.all;
27 134 jguarin200
 
28 151 jguarin200
use work.arithpack.all;
29 134 jguarin200
 
30
entity sm is
31
        port (
32
 
33 145 jguarin200
                --! Se&ntilde;ales normales de secuencia.
34
                clk,rst:                        in std_logic;
35 147 jguarin200
                --! Vector con las instrucción codficada
36 152 jguarin200
                instrQq:in std_logic_vector(floatwidth-1 downto 0);
37 147 jguarin200
                --! Señal de cola vacia.
38 145 jguarin200
                instrQ_empty:in std_logic;
39 142 jguarin200
 
40 145 jguarin200
 
41
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
42
                sync_chain_0,instrRdAckd:out std_logic;
43 142 jguarin200
 
44 147 jguarin200
 
45 145 jguarin200
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
46
 
47 142 jguarin200
 
48 150 jguarin200
                --! End Of Instruction Event
49
                eoi     : out std_logic;
50 142 jguarin200
 
51 151 jguarin200
                --! State Exposed for testbench purposes.
52
                state : out macState;
53
 
54 142 jguarin200
                --! DataPath Control uca code.
55 145 jguarin200
                dpc_uca : out std_logic_vector (2 downto 0)
56 142 jguarin200
 
57
 
58 134 jguarin200
        );
59
end entity;
60 139 jguarin200
 
61
architecture sm_arch of sm is
62
 
63 151 jguarin200
 
64 145 jguarin200
        --! LOAD_INSTRUCTION: Estado en el que se espera que en la cola de instrucciones haya una instrucción para ejecutar.
65
        --! EXECUTE_INSTRUCTION: Estado en el que se ejecuta la instrucci&oacute;n de la cola de instrucciones.
66
        --! FLUSH_ARITH_PIPELINE: Estado en el que se espera un número específico de ciclos de reloj, para que se desocupe el pipeline aritmético.
67
 
68 151 jguarin200
        signal s_state : macState;
69 139 jguarin200
 
70
 
71 151 jguarin200
 
72 145 jguarin200
        signal s_instr_uca:     std_logic_vector(2 downto 0);
73
        signal s_dpc_uca:               std_logic_vector(2 downto 0);
74
        signal s_block_start_a: std_logic_vector(4 downto 0);
75
        signal s_block_start_b: std_logic_vector(4 downto 0);
76
        signal s_block_end_a:   std_logic_vector(4 downto 0);
77
        signal s_block_end_b:   std_logic_vector(4 downto 0);
78
        signal s_combinatory:   std_logic;
79
        signal s_delay_field:   std_logic_vector(7 downto 0);
80
        signal s_set_b:                 std_logic;                                              --! Se&ntilde;al para colocar un valor arbitrario en el contador B.
81
        signal s_set_a:                 std_logic;
82
        signal s_set_dly:               std_logic;
83
        signal s_go_b:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando B/D.
84
        signal s_go_a:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando A/C. 
85
        signal s_go_delay:              std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de delay, para el flush del pipeline aritm&eacute;tico.
86
        signal s_zeroFlag_delay:std_logic;                                              --! Bandera de cero del contador delay. 
87
        signal s_eq_b,s_eq_a:   std_logic;      --! Indica cuando se est&aacute; leyendo el &uacute;ltimo bloque de memoria con operandos de entrada de a y de b respectivamente. 
88
        signal s_eb_b,s_eb_a:   std_logic;      --! Indica que se est&aacute; leyendo en memoria el &uacute;ltimo operando del bloque actual, b o a, respectivamente.
89
 
90 134 jguarin200
begin
91 151 jguarin200
 
92
        state <= s_state;
93
 
94 145 jguarin200
        --! Código UCA, pero en la etapa DPC: La diferencia es que UCA en la etapa DPC, decodifica el datapath dentro del pipeline aritmético.
95
        dpc_uca <= s_dpc_uca;
96 142 jguarin200
 
97 145 jguarin200
 
98
        --! Bloques asignados en la instrucci´øn
99 152 jguarin200
        s_block_start_a <= instrQq(floatwidth-4 downto floatwidth-8);
100
        s_block_end_a <= instrQq(floatwidth-9 downto floatwidth-13);
101 139 jguarin200
 
102 152 jguarin200
        s_block_start_b <= instrQq(floatwidth-14 downto floatwidth-18);
103
        s_block_end_b <= instrQq(floatwidth-19 downto floatwidth-23);
104 145 jguarin200
 
105
        --! Campo que define si la instrucción es combinatoria
106 152 jguarin200
        s_combinatory <= instrQq(floatwidth-24);
107 145 jguarin200
 
108
        --! Campo que define cuantos clocks debe esperar el sistema, despues de que se ejecuta una instrucción, para que el pipeline aritmético quede vacio.
109 152 jguarin200
        s_delay_field <= instrQq(floatwidth-25 downto floatwidth-32);
110 145 jguarin200
 
111
        --! UCA code, código con la instrucción a ejecutar. 
112
        s_instr_uca <= instrQq(31 downto 29);
113
 
114 142 jguarin200
        --! Address Counters
115 152 jguarin200
        --!TBXINSTANCESTART
116 142 jguarin200
        counterA:customCounter
117 152 jguarin200
        generic map (
118
                EOBFLAG => "YES",
119
                ZEROFLAG => "NO",
120
                BACKWARDS => "NO",
121
                EQUALFLAG => "YES",
122
                subwidth => 4,
123
                width => 9
124
        )
125
        port map (
126
                clk => clk,
127
                rst => rst,
128
                go => s_go_a,
129
                set => s_set_a,
130
                setValue => s_block_start_a,
131
                cmpBlockValue => s_block_end_a,
132
                zero_flag => open,
133
                eob_flag => s_eb_a,
134
                eq_flag => s_eq_a,
135
                count => adda
136
        );
137
        --!TBXINSTANCEEND
138
        --!TBXINSTANCESTART
139 142 jguarin200
        counterB:customCounter
140 152 jguarin200
        generic map (
141
                EOBFLAG => "YES",
142
                ZEROFLAG => "NO",
143
                BACKWARDS => "NO",
144
                EQUALFLAG => "YES",
145
                subwidth => 4,
146
                width => 9
147
        )
148
        port map (
149
                clk => clk,
150
                rst => rst,
151
                go => s_go_b,
152
                set => s_set_b,
153
                setValue => s_block_start_b,
154
                cmpBlockValue => s_block_end_b,
155
                zero_flag => open,
156
                eob_flag => s_eb_b,
157
                eq_flag => s_eq_b,
158
                count => addb
159
        );
160
        --!TBXINSTANCEEND
161
        --!TBXINSTANCESTART
162 145 jguarin200
        counterDly:customCounter
163 152 jguarin200
        generic map(
164
                EOBFLAG => "NO",
165
                ZEROFLAG => "YES",
166 153 jguarin200
                BACKWARDS => "YES",
167 152 jguarin200
                EQUALFLAG => "NO",
168
                width =>   5,
169
                subwidth => 0
170
 
171
        )
172
        port map (
173
                clk => clk,
174
                rst => rst,
175
                go => s_go_delay,
176
                set => s_set_dly,
177
                setValue => s_delay_field(4 downto 0),
178
                cmpBlockValue => "00000",
179
                zero_flag => s_zeroFlag_delay,
180
                eob_flag => open,
181
                eq_flag => open,
182
                count => open
183
        );
184
        --!TBXINSTANCEEND
185 139 jguarin200
 
186 145 jguarin200
        sm_comb:
187 151 jguarin200
        process (s_state, full_r,s_eb_b,s_combinatory,s_zeroFlag_delay,s_eq_b,s_eb_a,s_eq_a,instrQ_empty)
188 145 jguarin200
        begin
189
                --!Se&ntilde;al de play/pause del contador de direcciones para el par&aacute;metro B/D.
190
                s_go_b <= not(full_r and s_eb_b);
191 139 jguarin200
 
192 145 jguarin200
                --!Se&ntilde;al de play/pause del contador de direcciones para el par&aacute;metro A/C.
193
                if s_combinatory='0' then
194
                        s_go_a <= not(full_r and s_eb_b);
195
 
196
                else
197
                        s_go_a <= not(full_r) and s_eb_b and s_eq_b;
198
                end if;
199
 
200
                --!Se&ntilde;al de play/pause del contador del arithmetic pipeline flush counter.
201
                s_go_delay  <= not(s_zeroFlag_delay);
202
 
203
                --! Si estamos en el final de la instrucción, "descargamos" esta de la máquina de estados con acknowledge read.
204 151 jguarin200
                if s_eb_b='1' and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' and s_state=EXECUTE_INSTRUCTION then
205 145 jguarin200
                        instrRdAckd <= '1';
206
                else
207
                        instrRdAckd <= '0';
208
                end if;
209
 
210 151 jguarin200
                if (s_eb_a='1' and s_eq_a='1') or s_state=LOAD_INSTRUCTION or s_state=FLUSH_ARITH_PIPELINE then
211 145 jguarin200
                        s_set_a <= '1';
212
                else
213
                        s_set_a <= '0';
214
                end if;
215
 
216
 
217
 
218 151 jguarin200
                if (s_eb_b='1' and s_eq_b='1') or s_state=LOAD_INSTRUCTION or s_state=FLUSH_ARITH_PIPELINE then
219 145 jguarin200
                        s_set_b <= '1';
220
                else
221
                        s_set_b <= '0';
222
                end if;
223
 
224
        end process;
225
 
226 139 jguarin200
        sm_proc:
227 151 jguarin200
        process (clk,rst,s_state, full_r,s_eb_b,s_combinatory,s_zeroFlag_delay,s_eq_b,s_eb_a,s_eq_a,instrQ_empty)
228 139 jguarin200
        begin
229 145 jguarin200
 
230 139 jguarin200
                if rst=rstMasterValue then
231 145 jguarin200
 
232 151 jguarin200
                        s_state <= LOAD_INSTRUCTION;
233 145 jguarin200
                        s_set_dly <= '1';
234
                        sync_chain_0 <= '0';
235 150 jguarin200
                        eoi<='0';
236 145 jguarin200
                        s_dpc_uca <= (others => '0');
237
 
238
 
239 142 jguarin200
                elsif clk='1' and clk'event then
240 139 jguarin200
 
241 151 jguarin200
                        case s_state is
242 142 jguarin200
 
243 145 jguarin200
                                --! Cargar la siguiente instrucción. 
244
                                when LOAD_INSTRUCTION =>
245
 
246 150 jguarin200
                                        eoi <= '0';
247
 
248 145 jguarin200
                                        if instrQ_empty='0' and full_r='0' then
249 139 jguarin200
 
250 145 jguarin200
                                                --! Siguiente estado: Ejecutar la instrucción.  
251 151 jguarin200
                                                s_state <= EXECUTE_INSTRUCTION;
252 145 jguarin200
 
253
                                                --! Asignar el código UCA para que comience la decodificación.
254
                                                s_dpc_uca <= s_instr_uca;
255
 
256
                                                --! Validar el siguiente dato dentro del pipeline aritmético.
257
                                                sync_chain_0 <= '1';
258
 
259
                                                --! En el estado EXECUTE, el valor del contador de delay se debe mantener fijo, y puesto en el valor de delay que contiene la instruccion.
260
                                                s_set_dly <= '1';
261
 
262
 
263
 
264
                                        end if;
265
 
266
                                --! Ejecución de la instruccion                
267
                                when EXECUTE_INSTRUCTION =>
268
 
269
 
270
                                        if s_eb_b='1'and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' then  --! Revisar si es el fin de la instruccion
271
 
272 150 jguarin200
 
273 145 jguarin200
                                                --!Ya no ingresaran mas datos al pipeline aritmético, invalidar.
274
                                                sync_chain_0 <= '0';
275
 
276
                                                if s_zeroFlag_delay='1' then
277
 
278 150 jguarin200
                                                        --! Notificar fin de procesamiento de la instruccion (End Of Instruction)
279
                                                        eoi <= '1';
280 151 jguarin200
                                                        s_state <= LOAD_INSTRUCTION;
281 145 jguarin200
                                                        s_set_dly <= '1';
282 142 jguarin200
 
283 145 jguarin200
 
284
                                                else
285 150 jguarin200
 
286 151 jguarin200
                                                        s_state <= FLUSH_ARITH_PIPELINE;
287 145 jguarin200
                                                        s_set_dly <= '0';
288 142 jguarin200
 
289 145 jguarin200
                                                end if;
290
 
291
                                        --! Invalidar/validar datos dentro del pipeline aritmético.
292
                                        elsif s_eb_b='1' and full_r='1' then
293
                                                --! Invalidar el siguiente dato dentro del pipeline aritmético.
294
                                                sync_chain_0 <= '0';
295 142 jguarin200
                                        else
296 145 jguarin200
                                                sync_chain_0 <= '1';
297
                                        end if;
298
 
299
                                --! Ejecución de la instrucción               
300
                                when FLUSH_ARITH_PIPELINE =>
301
                                        --! Este estado permanece así hasta que, haya una instrucción 
302
                                        if s_zeroFlag_delay='1' then
303 142 jguarin200
 
304 150 jguarin200
                                                --! Notificar fin de procesamiento de la instruccion (End Of Instruction)
305
                                                eoi <= '1';
306 151 jguarin200
                                                s_state <= LOAD_INSTRUCTION;
307 145 jguarin200
                                                s_set_dly <= '1';
308
 
309
                                        end if;
310
 
311
                                when others => null;
312
 
313 139 jguarin200
                        end case;
314
                end if;
315
        end process;
316 142 jguarin200
 
317 134 jguarin200
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.