OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [ap_n_dpc.vhd] - Blame information for rev 144

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 134 jguarin200
 
26 123 jguarin200
entity dpc is
27 122 jguarin200
        generic (
28 132 jguarin200
                width : integer := 32
29
                --!external_readable_widthad    : integer := integer(ceil(log(real(external_readable_blocks),2.0))))                    
30 122 jguarin200
        );
31
        port (
32 143 jguarin200
                clk,rst                                 : in    std_logic;
33 127 jguarin200
                paraminput                              : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
34
                prd32blko                               : in    std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores.
35
                add32blko                               : in    std_logic_vector ((04*width)-1 downto 0);        --! Salidas de los 4 sumadores.
36 136 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (width-1 downto 0);             --! Salidas de la raiz cuadradas y el inversor.
37 138 jguarin200
                fifo32x23_q                             : in    std_logic_vector (03*width-1 downto 0);          --! Salida de la cola intermedia.
38 127 jguarin200
                fifo32x09_q                             : in    std_logic_vector (02*width-1 downto 0);  --! Salida de las colas de producto punto. 
39
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
40 142 jguarin200
                sync_chain_d                    : in    std_logic;                                                                      --! Señal de dato valido que se va por toda la cadena de sincronizacion.
41 136 jguarin200
                sqr32blki,inv32blki             : out   std_logic_vector (width-1 downto 0);             --! Salidas de las 2 raices cuadradas y los 2 inversores.
42 127 jguarin200
                fifo32x26_d                             : out   std_logic_vector (03*width-1 downto 0);          --! Entrada a la cola intermedia para la normalizaci&oacute;n.
43
                fifo32x09_d                             : out   std_logic_vector (02*width-1 downto 0);          --! Entrada a las colas intermedias del producto punto.         
44
                prd32blki                               : out   std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
45
                add32blki                               : out   std_logic_vector ((08*width)-1 downto 0);        --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
46 143 jguarin200
                res567w,res13w,res2w    : out   std_logic;                                                                      --! Salidas de escritura y lectura en las colas de resultados.
47
                res0w,res4w,fifo32x09_w : out   std_logic;
48
                fifo32x23_w,fifo32x09_r : out   std_logic;
49
                fifo32x23_r                             : out   std_logic;
50
                res567f,res13f                  : in    std_logic;                                                                      --! Entradas de la se&ntilde;al de full de las colas de resultados. 
51
                res2f,res0f                             : in    std_logic;
52
                resf                                    : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operaci&oacute;n est&aacute; en curso.
53 140 jguarin200
                resultoutput                    : out   std_logic_vector ((08*width)-1 downto 0)         --! 8 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
54 122 jguarin200
        );
55 123 jguarin200
end dpc;
56 122 jguarin200
 
57 123 jguarin200
architecture dpc_arch of dpc is
58 125 jguarin200
 
59
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
60 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
61
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
62
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
63
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
64
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
65 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
66
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
67 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
68 127 jguarin200
 
69 124 jguarin200
        constant dpfifoab : integer := 00;
70
        constant dpfifocd : integer := 01;
71
 
72 122 jguarin200
 
73 123 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
74 127 jguarin200
        type    vectorblock08 is array (07 downto 0) of std_logic_vector(width-1 downto 0);
75 123 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(width-1 downto 0);
76 127 jguarin200
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(width-1 downto 0);
77 124 jguarin200
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(width-1 downto 0);
78 123 jguarin200
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(width-1 downto 0);
79 122 jguarin200
 
80 127 jguarin200
 
81 143 jguarin200
 
82 127 jguarin200
        signal sparaminput,sfactor                      : vectorblock12;
83
        signal ssumando,sresult                         : vectorblock08;
84
        signal sprd32blk                                        : vectorblock06;
85
        signal sadd32blk                                        : vectorblock04;
86 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
87 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
88
        signal ssqr32blk,sinv32blk                      : std_logic_vector(width-1 downto 0);
89 142 jguarin200
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
90 143 jguarin200
        signal ssync_chain_d                            : std_logic;
91
 
92
 
93 140 jguarin200
        constant rstMasterValue : std_logic := '0';
94
 
95 123 jguarin200
begin
96 122 jguarin200
 
97 142 jguarin200
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
98
        ssync_chain_d <= sync_chain_d;
99 140 jguarin200
        sync_chain_proc:
100
        process(clk,rst)
101
        begin
102
                if rst=rstMasterValue then
103 142 jguarin200
                        ssync_chain <= (others => '0');
104 140 jguarin200
                elsif clk'event and clk='1' then
105 142 jguarin200
                        ssync_chain(0) <= ssync_chain_d;
106
                        for i in 28 downto 1 loop
107
                                ssync_chain(i) <= ssync_chain(i-1);
108 140 jguarin200
                        end loop;
109
                end if;
110
        end process sync_chain_proc;
111 144 jguarin200
 
112 140 jguarin200
        --! Escritura en las colas de resultados y escritura/lectura en las colas intermedias mediante cadena de resultados.
113 143 jguarin200
        fifo32x09_w <= ssync_chain(5);
114
        fifo32x23_w <= ssync_chain(1);
115
        fifo32x09_r <= ssync_chain(13);
116
        fifo32x23_r <= ssync_chain(24);
117
        res0w <= ssync_chain(23);
118
        res4w <= ssync_chain(21);
119 140 jguarin200
        sync_chain_comb:
120 142 jguarin200
        process (ssync_chain,addsub,crossprod,unary)
121 140 jguarin200
        begin
122
                if unary='1' then
123 143 jguarin200
                        res567w <= ssync_chain(28);
124 140 jguarin200
                else
125 143 jguarin200
                        res567w <= ssync_chain(4);
126 140 jguarin200
                end if;
127 127 jguarin200
 
128 140 jguarin200
                if addsub='1' then
129 143 jguarin200
                        res13w <= ssync_chain(9);
130
                        res2w <= ssync_chain(9);
131 140 jguarin200
                else
132 143 jguarin200
                        res13w <= ssync_chain(13);
133 140 jguarin200
                        if crossprod='1' then
134 143 jguarin200
                                res2w <= ssync_chain(13);
135 140 jguarin200
                        else
136 143 jguarin200
                                res2w <= ssync_chain(22);
137 140 jguarin200
                        end if;
138
                end if;
139
        end process sync_chain_comb;
140
 
141
 
142
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, simplemente son abstracciones a nivel de c&oacute;digo y no representar&aacute; cambios en la s&iacute;ntesis.
143 123 jguarin200
        stuff12:
144
        for i in 11 downto 0 generate
145
                sparaminput(i) <= paraminput(i*width+width-1 downto i*width);
146
                prd32blki(i*width+width-1 downto i*width) <= sfactor(i);
147 127 jguarin200
        end generate stuff12;
148
        stuff08:
149
        for i in 07 downto 0 generate
150 123 jguarin200
                add32blki(i*width+width-1 downto i*width) <= ssumando(i);
151 127 jguarin200
                resultoutput(i*width+width-1 downto i*width) <= sresult(i);
152
        end generate stuff08;
153 138 jguarin200
        stuff04:
154
        for i in 03 downto 1 generate
155
                sadd32blk(i)  <= add32blko(i*width+width-1 downto i*width);
156
        end generate stuff04;
157
 
158
 
159 124 jguarin200
        stuff03:
160
        for i in 02 downto 0 generate
161 138 jguarin200
                snormfifo_q(i) <= fifo32x23_q(i*width+width-1 downto i*width);
162 124 jguarin200
                fifo32x26_d(i*width+width-1 downto i*width) <= snormfifo_d(i);
163
        end generate stuff03;
164
 
165 123 jguarin200
        stuff02:
166 127 jguarin200
        for i in 01 downto 0 generate
167
                sdpfifo_q(i)  <= fifo32x09_q(i*width+width-1 downto i*width);
168 123 jguarin200
        end generate stuff02;
169 140 jguarin200
 
170
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, son abstracciones de c&oacute;digo tambi&eacute;n, sin embargo se realizan a trav&eacute;s de registros. 
171
        register_products_outputs:
172
        process (clk)
173
        begin
174
                if clk'event and clk='1' then
175
                        for i in 05 downto 0 loop
176
                                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
177
                        end loop;
178
                end if;
179
        end process;
180
        --! Los productos del multiplicador 2 y 3, ya registrados dentro de dpc van a la cola intermedia del producto punto (fifo32x09_d)
181 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
182 140 jguarin200
        register_adder0_and_inversor_output:
183
        process (clk)
184
        begin
185
                if clk'event and clk='1' then
186
                        sadd32blk(a0)  <= add32blko(a0*width+width-1 downto a0*width);
187
                        sinv32blk <= inv32blko;
188
                end if;
189
        end process;
190 122 jguarin200
 
191 127 jguarin200
 
192
 
193 140 jguarin200
 
194 144 jguarin200
        --! Raiz Cuadrada.
195 127 jguarin200
        ssqr32blk <= sqr32blko;
196
 
197 136 jguarin200
        --! Colas de salida de los distintos resultados;
198 127 jguarin200
        sresult(0) <= ssqr32blk;
199
        sresult(1) <= sadd32blk(a0);
200
        sresult(2) <= sadd32blk(a1);
201
        sresult(3) <= sadd32blk(a2);
202
        sresult(4) <= sadd32blk(aa);
203
        sresult(5) <= sprd32blk(p3);
204
        sresult(6) <= sprd32blk(p4);
205
        sresult(7) <= sprd32blk(p5);
206
 
207
        --! Cola de normalizacion
208
        snormfifo_d(qx) <= sparaminput(ax);
209
        snormfifo_d(qy) <= sparaminput(ay);
210
        snormfifo_d(qz) <= sparaminput(az);
211
 
212
 
213
 
214 136 jguarin200
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
215
        inv32blki <= sqr32blko;
216 139 jguarin200
        --! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
217 136 jguarin200
        sqr32blki <= sadd32blk(a1);
218 127 jguarin200
 
219
 
220 136 jguarin200
 
221
        --! Conectar las entradas del sumador a, a la salida 
222
        ssumando(s6) <= sadd32blk(a2);
223
        ssumando(s7) <= sdpfifo_q(dpfifocd);
224
 
225 144 jguarin200
        --!El siguiente proceso conecta la se&ntilde;al de cola "casi llena", de la cola que corresponde al resultado de la operaci&oacute;n indicada por los bit UCA (Unary, Crossprod, Addsub).
226 143 jguarin200
        fullQ:process(res0f,res13f,res2f,res567f,unary,crossprod,addsub)
227
        begin
228
                if unary='0' then
229
                        if crossprod='1' or addsub='1' then
230
                                resf <= res13f;
231
                        else
232
                                resf <= res2f;
233
                        end if;
234
                elsif crossprod='1' or addsub='1' then
235
                        resf <= res567f;
236
                else
237
                        resf <= res0f;
238
                end if;
239
        end process;
240
 
241 144 jguarin200
        --! Decodificaci&oacute;n del Datapath.
242 142 jguarin200
        mul:process(unary,addsub,crossprod,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
243 123 jguarin200
        begin
244 124 jguarin200
 
245 142 jguarin200
                sfactor(f4) <= sparaminput(az);
246 127 jguarin200
                if unary='1' then
247
                        --! Magnitud y normalizacion
248
                        sfactor(f0) <= sparaminput(ax);
249
                        sfactor(f1) <= sparaminput(ax);
250
                        sfactor(f2) <= sparaminput(ay);
251
                        sfactor(f3) <= sparaminput(ay);
252 142 jguarin200
 
253 127 jguarin200
                        sfactor(f5) <= sparaminput(az);
254 142 jguarin200
                        if crossprod='1' and addsub='1' then
255
                                sfactor(f6) <= sparaminput(cx);
256
                                sfactor(f7) <= sparaminput(dx);
257
                                sfactor(f8) <= sparaminput(cy);
258
                                sfactor(f9) <= sparaminput(dx);
259
                                sfactor(f10) <= sparaminput(cz);
260
                                sfactor(f11) <= sparaminput(dx);
261
                        else
262
                                sfactor(f6) <= snormfifo_q(ax);
263
                                sfactor(f7) <= sinv32blk;
264
                                sfactor(f8) <= snormfifo_q(ay);
265
                                sfactor(f9) <= sinv32blk;
266
                                sfactor(f10) <= snormfifo_q(az);
267
                                sfactor(f11) <= sinv32blk;
268
                        end if;
269
 
270
 
271
                elsif addsub='0' then
272
                        --! Solo productos punto o cruz
273
                        if crossprod='1' then
274
 
275
                                sfactor(f0) <= sparaminput(ay);
276
                                sfactor(f1) <= sparaminput(bz);
277
                                sfactor(f2) <= sparaminput(az);
278
                                sfactor(f3) <= sparaminput(by);
279
 
280
                                sfactor(f5) <= sparaminput(bx);
281
                                sfactor(f6) <= sparaminput(ax);
282
                                sfactor(f7) <= sparaminput(bz);
283
                                sfactor(f8) <= sparaminput(ax);
284
                                sfactor(f9) <= sparaminput(by);
285
                                sfactor(f10) <= sparaminput(ay);
286
                                sfactor(f11) <= sparaminput(bx);
287
 
288
                        else
289
 
290
                                sfactor(f0) <=  sparaminput(ax) ;
291
                                sfactor(f1) <=  sparaminput(bx) ;
292
                                sfactor(f2) <=  sparaminput(ay) ;
293
                                sfactor(f3) <=  sparaminput(by) ;
294
                                sfactor(f5) <=  sparaminput(bz) ;
295
                                sfactor(f6) <=  sparaminput(cx) ;
296
                                sfactor(f7) <=  sparaminput(dx) ;
297
                                sfactor(f8) <=  sparaminput(cy) ;
298
                                sfactor(f9) <=  sparaminput(dy) ;
299
                                sfactor(f10) <= sparaminput(cz) ;
300
                                sfactor(f11) <= sparaminput(dz) ;
301
                        end if;
302
 
303 127 jguarin200
                else
304
                        sfactor(f0) <=  sparaminput(ax) ;
305
                        sfactor(f1) <=  sparaminput(bx) ;
306
                        sfactor(f2) <=  sparaminput(ay) ;
307
                        sfactor(f3) <=  sparaminput(by) ;
308
                        sfactor(f5) <=  sparaminput(bz) ;
309
                        sfactor(f6) <=  sparaminput(cx) ;
310
                        sfactor(f7) <=  sparaminput(dx) ;
311
                        sfactor(f8) <=  sparaminput(cy) ;
312
                        sfactor(f9) <=  sparaminput(dx) ;
313
                        sfactor(f10) <= sparaminput(cz) ;
314
                        sfactor(f11) <= sparaminput(dx) ;
315 125 jguarin200
                end if;
316 127 jguarin200
 
317 136 jguarin200
 
318 127 jguarin200
                if addsub='1' then
319
                        ssumando(s0) <= sparaminput(ax);
320
                        ssumando(s1) <= sparaminput(bx);
321
                        ssumando(s2) <= sparaminput(ay);
322
                        ssumando(s3) <= sparaminput(by);
323
                        ssumando(s4) <= sparaminput(az);
324
                        ssumando(s5) <= sparaminput(bz);
325
                else
326
                        ssumando(s0) <= sprd32blk(p0);
327
                        ssumando(s1) <= sprd32blk(p1);
328 132 jguarin200
                        if crossprod='0' then
329
                                ssumando(s2) <= sadd32blk(a0);
330
                                ssumando(s3) <= sdpfifo_q(dpfifoab);
331
                        else
332
                                ssumando(s2) <= sprd32blk(p2);
333
                                ssumando(s3) <= sprd32blk(p3);
334
                        end if;
335 127 jguarin200
                        ssumando(s4) <= sprd32blk(p4);
336
                        ssumando(s5) <= sprd32blk(p5);
337
                end if;
338 123 jguarin200
        end process;
339
 
340
 
341 127 jguarin200
 
342 123 jguarin200
end dpc_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.