1 |
123 |
jguarin200 |
--! @file dpc.vhd
|
2 |
122 |
jguarin200 |
--! @brief Decodificador de operacion.
|
3 |
128 |
jguarin200 |
--! @author Julián Andrés Guarín Reyes
|
4 |
122 |
jguarin200 |
--------------------------------------------------------------
|
5 |
|
|
-- RAYTRAC
|
6 |
|
|
-- Author Julian Andres Guarin
|
7 |
123 |
jguarin200 |
-- dpc.vhd
|
8 |
122 |
jguarin200 |
-- This file is part of raytrac.
|
9 |
|
|
--
|
10 |
|
|
-- raytrac is free software: you can redistribute it and/or modify
|
11 |
|
|
-- it under the terms of the GNU General Public License as published by
|
12 |
|
|
-- the Free Software Foundation, either version 3 of the License, or
|
13 |
|
|
-- (at your option) any later version.
|
14 |
|
|
--
|
15 |
|
|
-- raytrac is distributed in the hope that it will be useful,
|
16 |
|
|
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
17 |
|
|
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
18 |
|
|
-- GNU General Public License for more details.
|
19 |
|
|
--
|
20 |
|
|
-- You should have received a copy of the GNU General Public License
|
21 |
|
|
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>.
|
22 |
|
|
|
23 |
|
|
library ieee;
|
24 |
|
|
use ieee.std_logic_1164.all;
|
25 |
158 |
jguarin200 |
|
26 |
151 |
jguarin200 |
use work.arithpack.all;
|
27 |
134 |
jguarin200 |
|
28 |
158 |
jguarin200 |
|
29 |
123 |
jguarin200 |
entity dpc is
|
30 |
152 |
jguarin200 |
|
31 |
122 |
jguarin200 |
port (
|
32 |
143 |
jguarin200 |
clk,rst : in std_logic;
|
33 |
158 |
jguarin200 |
paraminput : in vectorblock12; --! Vectores A,B,C,D
|
34 |
|
|
prd32blko : in vectorblock06; --! Salidas de los 6 multiplicadores.
|
35 |
|
|
add32blko : in vectorblock04; --! Salidas de los 4 sumadores.
|
36 |
152 |
jguarin200 |
sqr32blko,inv32blko : in std_logic_vector (floatwidth-1 downto 0); --! Salidas de la raiz cuadradas y el inversor.
|
37 |
|
|
fifo32x23_q : in std_logic_vector (03*floatwidth-1 downto 0); --! Salida de la cola intermedia.
|
38 |
|
|
fifo32x09_q : in std_logic_vector (02*floatwidth-1 downto 0); --! Salida de las colas de producto punto.
|
39 |
127 |
jguarin200 |
unary,crossprod,addsub : in std_logic; --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D).
|
40 |
152 |
jguarin200 |
sync_chain_0 : in std_logic; --! Señal de dato valido que se va por toda la cadena de sincronizacion.
|
41 |
|
|
eoi_int : in std_logic; --! Señal de interrupción de final de instrucción.
|
42 |
|
|
eoi_demuxed_int : out std_logic_vector (3 downto 0); --! Señal de interrupción de final de instrucción pero esta vez va asociada a la instruccón UCA.
|
43 |
|
|
sqr32blki,inv32blki : out std_logic_vector (floatwidth-1 downto 0); --! Salidas de las 2 raices cuadradas y los 2 inversores.
|
44 |
|
|
fifo32x26_d : out std_logic_vector (03*floatwidth-1 downto 0); --! Entrada a la cola intermedia para la normalización.
|
45 |
|
|
fifo32x09_d : out std_logic_vector (02*floatwidth-1 downto 0); --! Entrada a las colas intermedias del producto punto.
|
46 |
158 |
jguarin200 |
prd32blki : out vectorblock12; --! Entrada de los 12 factores en el bloque de multiplicación respectivamente.
|
47 |
|
|
add32blki : out vectorblock08; --! Entrada de los 8 sumandos del bloque de 4 sumadores.
|
48 |
149 |
jguarin200 |
resw : out std_logic_vector (4 downto 0); --! Salidas de escritura y lectura en las colas de resultados.
|
49 |
|
|
fifo32x09_w : out std_logic;
|
50 |
143 |
jguarin200 |
fifo32x23_w,fifo32x09_r : out std_logic;
|
51 |
|
|
fifo32x23_r : out std_logic;
|
52 |
150 |
jguarin200 |
resf_vector : in std_logic_vector (3 downto 0); --! Entradas de la señal de full de las colas de resultados.
|
53 |
|
|
resf_event : out std_logic; --! Salida decodificada que indica que la cola de resultados de la operación que está en curso.
|
54 |
158 |
jguarin200 |
resultoutput : out vectorblock08 --! 8 salidas de resultados, pues lo máximo que podrá calcularse por cada clock son 2 vectores.
|
55 |
122 |
jguarin200 |
);
|
56 |
153 |
jguarin200 |
end entity;
|
57 |
122 |
jguarin200 |
|
58 |
123 |
jguarin200 |
architecture dpc_arch of dpc is
|
59 |
125 |
jguarin200 |
|
60 |
|
|
constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
|
61 |
123 |
jguarin200 |
constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
|
62 |
|
|
constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
|
63 |
|
|
constant f0 : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
|
64 |
|
|
constant f6 : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
|
65 |
|
|
constant s0 : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
|
66 |
127 |
jguarin200 |
constant s6 : integer := 06;constant s7 : integer := 07;
|
67 |
|
|
constant a0 : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
|
68 |
123 |
jguarin200 |
constant p0 : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
|
69 |
127 |
jguarin200 |
|
70 |
124 |
jguarin200 |
constant dpfifoab : integer := 00;
|
71 |
|
|
constant dpfifocd : integer := 01;
|
72 |
|
|
|
73 |
122 |
jguarin200 |
|
74 |
|
|
|
75 |
127 |
jguarin200 |
|
76 |
143 |
jguarin200 |
|
77 |
152 |
jguarin200 |
|
78 |
127 |
jguarin200 |
signal sparaminput,sfactor : vectorblock12;
|
79 |
|
|
signal ssumando,sresult : vectorblock08;
|
80 |
|
|
signal sprd32blk : vectorblock06;
|
81 |
|
|
signal sadd32blk : vectorblock04;
|
82 |
125 |
jguarin200 |
signal snormfifo_q,snormfifo_d : vectorblock03;
|
83 |
127 |
jguarin200 |
signal sdpfifo_q : vectorblock02;
|
84 |
152 |
jguarin200 |
signal ssqr32blk,sinv32blk : std_logic_vector(floatwidth-1 downto 0);
|
85 |
160 |
jguarin200 |
|
86 |
|
|
--!TBXSTART:SYNC_CHAIN
|
87 |
142 |
jguarin200 |
signal ssync_chain : std_logic_vector(28 downto 0);
|
88 |
143 |
jguarin200 |
signal ssync_chain_d : std_logic;
|
89 |
160 |
jguarin200 |
--!TBXEND
|
90 |
149 |
jguarin200 |
signal sres567w,sres123w,sres2w : std_logic;
|
91 |
|
|
signal sres0w,sres4w : std_logic;
|
92 |
150 |
jguarin200 |
signal sres567f,sres123f : std_logic; --! Entradas de la señal de full de las colas de resultados.
|
93 |
|
|
signal sres24f,sres0f : std_logic;
|
94 |
143 |
jguarin200 |
|
95 |
|
|
|
96 |
140 |
jguarin200 |
|
97 |
151 |
jguarin200 |
|
98 |
123 |
jguarin200 |
begin
|
99 |
122 |
jguarin200 |
|
100 |
142 |
jguarin200 |
--! Cadena de sincronización: 29 posiciones.
|
101 |
145 |
jguarin200 |
ssync_chain(0) <= sync_chain_0;
|
102 |
140 |
jguarin200 |
sync_chain_proc:
|
103 |
|
|
process(clk,rst)
|
104 |
|
|
begin
|
105 |
|
|
if rst=rstMasterValue then
|
106 |
145 |
jguarin200 |
ssync_chain(28 downto 1) <= (others => '0');
|
107 |
140 |
jguarin200 |
elsif clk'event and clk='1' then
|
108 |
142 |
jguarin200 |
for i in 28 downto 1 loop
|
109 |
|
|
ssync_chain(i) <= ssync_chain(i-1);
|
110 |
140 |
jguarin200 |
end loop;
|
111 |
|
|
end if;
|
112 |
|
|
end process sync_chain_proc;
|
113 |
144 |
jguarin200 |
|
114 |
140 |
jguarin200 |
--! Escritura en las colas de resultados y escritura/lectura en las colas intermedias mediante cadena de resultados.
|
115 |
143 |
jguarin200 |
fifo32x09_w <= ssync_chain(5);
|
116 |
|
|
fifo32x23_w <= ssync_chain(1);
|
117 |
|
|
fifo32x09_r <= ssync_chain(13);
|
118 |
|
|
fifo32x23_r <= ssync_chain(24);
|
119 |
149 |
jguarin200 |
sres0w <= ssync_chain(23);
|
120 |
|
|
sres4w <= ssync_chain(22);
|
121 |
|
|
resw <= sres567w&sres4w&sres123w&sres2w&sres0w;
|
122 |
140 |
jguarin200 |
sync_chain_comb:
|
123 |
142 |
jguarin200 |
process (ssync_chain,addsub,crossprod,unary)
|
124 |
140 |
jguarin200 |
begin
|
125 |
|
|
if unary='1' then
|
126 |
149 |
jguarin200 |
sres567w <= ssync_chain(28);
|
127 |
140 |
jguarin200 |
else
|
128 |
149 |
jguarin200 |
sres567w <= ssync_chain(4);
|
129 |
140 |
jguarin200 |
end if;
|
130 |
127 |
jguarin200 |
|
131 |
140 |
jguarin200 |
if addsub='1' then
|
132 |
149 |
jguarin200 |
sres123w <= ssync_chain(9);
|
133 |
|
|
sres2w <= ssync_chain(9);
|
134 |
140 |
jguarin200 |
else
|
135 |
149 |
jguarin200 |
sres123w <= ssync_chain(13);
|
136 |
140 |
jguarin200 |
if crossprod='1' then
|
137 |
149 |
jguarin200 |
sres2w <= ssync_chain(13);
|
138 |
140 |
jguarin200 |
else
|
139 |
149 |
jguarin200 |
sres2w <= ssync_chain(22);
|
140 |
140 |
jguarin200 |
end if;
|
141 |
|
|
end if;
|
142 |
|
|
end process sync_chain_comb;
|
143 |
|
|
|
144 |
|
|
|
145 |
|
|
--! El siguiente código sirve para conectar arreglos a señales std_logic_1164, simplemente son abstracciones a nivel de código y no representará cambios en la síntesis.
|
146 |
158 |
jguarin200 |
sparaminput <= paraminput;
|
147 |
|
|
prd32blki <= sfactor;
|
148 |
|
|
add32blki <= ssumando;
|
149 |
|
|
resultoutput<= sresult;
|
150 |
|
|
|
151 |
|
|
|
152 |
138 |
jguarin200 |
stuff04:
|
153 |
148 |
jguarin200 |
for i in 02 downto 1 generate
|
154 |
158 |
jguarin200 |
sadd32blk(i) <= add32blko(i);
|
155 |
138 |
jguarin200 |
end generate stuff04;
|
156 |
|
|
|
157 |
|
|
|
158 |
124 |
jguarin200 |
stuff03:
|
159 |
|
|
for i in 02 downto 0 generate
|
160 |
152 |
jguarin200 |
snormfifo_q(i) <= fifo32x23_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
|
161 |
|
|
fifo32x26_d(i*floatwidth+floatwidth-1 downto i*floatwidth) <= snormfifo_d(i);
|
162 |
124 |
jguarin200 |
end generate stuff03;
|
163 |
|
|
|
164 |
123 |
jguarin200 |
stuff02:
|
165 |
127 |
jguarin200 |
for i in 01 downto 0 generate
|
166 |
152 |
jguarin200 |
sdpfifo_q(i) <= fifo32x09_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
|
167 |
123 |
jguarin200 |
end generate stuff02;
|
168 |
140 |
jguarin200 |
|
169 |
|
|
--! El siguiente código sirve para conectar arreglos a señales std_logic_1164, son abstracciones de código también, sin embargo se realizan a través de registros.
|
170 |
|
|
register_products_outputs:
|
171 |
|
|
process (clk)
|
172 |
|
|
begin
|
173 |
|
|
if clk'event and clk='1' then
|
174 |
158 |
jguarin200 |
sprd32blk <= prd32blko;
|
175 |
140 |
jguarin200 |
end if;
|
176 |
|
|
end process;
|
177 |
|
|
--! Los productos del multiplicador 2 y 3, ya registrados dentro de dpc van a la cola intermedia del producto punto (fifo32x09_d)
|
178 |
148 |
jguarin200 |
--! Los unicos resultados de sumandos que de nuevo entran al DataPathControl (observar la pestaña del documento de excel)
|
179 |
|
|
|
180 |
124 |
jguarin200 |
fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
|
181 |
140 |
jguarin200 |
register_adder0_and_inversor_output:
|
182 |
|
|
process (clk)
|
183 |
|
|
begin
|
184 |
|
|
if clk'event and clk='1' then
|
185 |
158 |
jguarin200 |
sadd32blk(a0) <= add32blko(a0);
|
186 |
|
|
sadd32blk(aa) <= add32blko(aa);
|
187 |
140 |
jguarin200 |
sinv32blk <= inv32blko;
|
188 |
|
|
end if;
|
189 |
|
|
end process;
|
190 |
122 |
jguarin200 |
|
191 |
127 |
jguarin200 |
|
192 |
|
|
|
193 |
140 |
jguarin200 |
|
194 |
144 |
jguarin200 |
--! Raiz Cuadrada.
|
195 |
127 |
jguarin200 |
ssqr32blk <= sqr32blko;
|
196 |
|
|
|
197 |
136 |
jguarin200 |
--! Colas de salida de los distintos resultados;
|
198 |
127 |
jguarin200 |
sresult(0) <= ssqr32blk;
|
199 |
|
|
sresult(1) <= sadd32blk(a0);
|
200 |
|
|
sresult(2) <= sadd32blk(a1);
|
201 |
|
|
sresult(3) <= sadd32blk(a2);
|
202 |
|
|
sresult(4) <= sadd32blk(aa);
|
203 |
|
|
sresult(5) <= sprd32blk(p3);
|
204 |
|
|
sresult(6) <= sprd32blk(p4);
|
205 |
|
|
sresult(7) <= sprd32blk(p5);
|
206 |
|
|
|
207 |
|
|
--! Cola de normalizacion
|
208 |
|
|
snormfifo_d(qx) <= sparaminput(ax);
|
209 |
|
|
snormfifo_d(qy) <= sparaminput(ay);
|
210 |
|
|
snormfifo_d(qz) <= sparaminput(az);
|
211 |
|
|
|
212 |
|
|
|
213 |
|
|
|
214 |
136 |
jguarin200 |
--! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
|
215 |
|
|
inv32blki <= sqr32blko;
|
216 |
139 |
jguarin200 |
--! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
|
217 |
136 |
jguarin200 |
sqr32blki <= sadd32blk(a1);
|
218 |
127 |
jguarin200 |
|
219 |
|
|
|
220 |
136 |
jguarin200 |
|
221 |
|
|
--! Conectar las entradas del sumador a, a la salida
|
222 |
|
|
ssumando(s6) <= sadd32blk(a2);
|
223 |
|
|
ssumando(s7) <= sdpfifo_q(dpfifocd);
|
224 |
|
|
|
225 |
144 |
jguarin200 |
--!El siguiente proceso conecta la señal de cola "casi llena", de la cola que corresponde al resultado de la operación indicada por los bit UCA (Unary, Crossprod, Addsub).
|
226 |
150 |
jguarin200 |
sres0f <= resf_vector(0);
|
227 |
|
|
sres123f <= resf_vector(1);
|
228 |
|
|
sres24f <= resf_vector(2);
|
229 |
|
|
sres567f <= resf_vector(3);
|
230 |
|
|
fullQ:process(sres0f,sres123f,sres24f,sres567f,unary,crossprod,addsub,eoi_int)
|
231 |
143 |
jguarin200 |
begin
|
232 |
|
|
if unary='0' then
|
233 |
147 |
jguarin200 |
if crossprod='1' or addsub='1' then
|
234 |
|
|
eoi_demuxed_int <= "00"&eoi_int&'0';
|
235 |
150 |
jguarin200 |
resf_event <= sres123f;
|
236 |
143 |
jguarin200 |
else
|
237 |
147 |
jguarin200 |
eoi_demuxed_int <= '0'&eoi_int&"00";
|
238 |
150 |
jguarin200 |
resf_event <= sres24f;
|
239 |
143 |
jguarin200 |
end if;
|
240 |
|
|
elsif crossprod='1' or addsub='1' then
|
241 |
147 |
jguarin200 |
eoi_demuxed_int <= eoi_int&"000";
|
242 |
150 |
jguarin200 |
resf_event <= sres567f;
|
243 |
143 |
jguarin200 |
else
|
244 |
147 |
jguarin200 |
eoi_demuxed_int <= "000"&eoi_int;
|
245 |
150 |
jguarin200 |
resf_event <= sres0f;
|
246 |
143 |
jguarin200 |
end if;
|
247 |
|
|
end process;
|
248 |
|
|
|
249 |
144 |
jguarin200 |
--! Decodificación del Datapath.
|
250 |
142 |
jguarin200 |
mul:process(unary,addsub,crossprod,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
|
251 |
123 |
jguarin200 |
begin
|
252 |
124 |
jguarin200 |
|
253 |
142 |
jguarin200 |
sfactor(f4) <= sparaminput(az);
|
254 |
127 |
jguarin200 |
if unary='1' then
|
255 |
|
|
--! Magnitud y normalizacion
|
256 |
|
|
sfactor(f0) <= sparaminput(ax);
|
257 |
|
|
sfactor(f1) <= sparaminput(ax);
|
258 |
|
|
sfactor(f2) <= sparaminput(ay);
|
259 |
|
|
sfactor(f3) <= sparaminput(ay);
|
260 |
142 |
jguarin200 |
|
261 |
127 |
jguarin200 |
sfactor(f5) <= sparaminput(az);
|
262 |
142 |
jguarin200 |
if crossprod='1' and addsub='1' then
|
263 |
|
|
sfactor(f6) <= sparaminput(cx);
|
264 |
|
|
sfactor(f7) <= sparaminput(dx);
|
265 |
|
|
sfactor(f8) <= sparaminput(cy);
|
266 |
|
|
sfactor(f9) <= sparaminput(dx);
|
267 |
|
|
sfactor(f10) <= sparaminput(cz);
|
268 |
|
|
sfactor(f11) <= sparaminput(dx);
|
269 |
|
|
else
|
270 |
|
|
sfactor(f6) <= snormfifo_q(ax);
|
271 |
|
|
sfactor(f7) <= sinv32blk;
|
272 |
|
|
sfactor(f8) <= snormfifo_q(ay);
|
273 |
|
|
sfactor(f9) <= sinv32blk;
|
274 |
|
|
sfactor(f10) <= snormfifo_q(az);
|
275 |
|
|
sfactor(f11) <= sinv32blk;
|
276 |
|
|
end if;
|
277 |
|
|
|
278 |
|
|
|
279 |
|
|
elsif addsub='0' then
|
280 |
|
|
--! Solo productos punto o cruz
|
281 |
|
|
if crossprod='1' then
|
282 |
|
|
|
283 |
|
|
sfactor(f0) <= sparaminput(ay);
|
284 |
|
|
sfactor(f1) <= sparaminput(bz);
|
285 |
|
|
sfactor(f2) <= sparaminput(az);
|
286 |
|
|
sfactor(f3) <= sparaminput(by);
|
287 |
|
|
|
288 |
|
|
sfactor(f5) <= sparaminput(bx);
|
289 |
|
|
sfactor(f6) <= sparaminput(ax);
|
290 |
|
|
sfactor(f7) <= sparaminput(bz);
|
291 |
|
|
sfactor(f8) <= sparaminput(ax);
|
292 |
|
|
sfactor(f9) <= sparaminput(by);
|
293 |
|
|
sfactor(f10) <= sparaminput(ay);
|
294 |
|
|
sfactor(f11) <= sparaminput(bx);
|
295 |
|
|
|
296 |
|
|
else
|
297 |
|
|
|
298 |
|
|
sfactor(f0) <= sparaminput(ax) ;
|
299 |
|
|
sfactor(f1) <= sparaminput(bx) ;
|
300 |
|
|
sfactor(f2) <= sparaminput(ay) ;
|
301 |
|
|
sfactor(f3) <= sparaminput(by) ;
|
302 |
|
|
sfactor(f5) <= sparaminput(bz) ;
|
303 |
|
|
sfactor(f6) <= sparaminput(cx) ;
|
304 |
|
|
sfactor(f7) <= sparaminput(dx) ;
|
305 |
|
|
sfactor(f8) <= sparaminput(cy) ;
|
306 |
|
|
sfactor(f9) <= sparaminput(dy) ;
|
307 |
|
|
sfactor(f10) <= sparaminput(cz) ;
|
308 |
|
|
sfactor(f11) <= sparaminput(dz) ;
|
309 |
|
|
end if;
|
310 |
|
|
|
311 |
127 |
jguarin200 |
else
|
312 |
|
|
sfactor(f0) <= sparaminput(ax) ;
|
313 |
|
|
sfactor(f1) <= sparaminput(bx) ;
|
314 |
|
|
sfactor(f2) <= sparaminput(ay) ;
|
315 |
|
|
sfactor(f3) <= sparaminput(by) ;
|
316 |
|
|
sfactor(f5) <= sparaminput(bz) ;
|
317 |
|
|
sfactor(f6) <= sparaminput(cx) ;
|
318 |
|
|
sfactor(f7) <= sparaminput(dx) ;
|
319 |
|
|
sfactor(f8) <= sparaminput(cy) ;
|
320 |
|
|
sfactor(f9) <= sparaminput(dx) ;
|
321 |
|
|
sfactor(f10) <= sparaminput(cz) ;
|
322 |
|
|
sfactor(f11) <= sparaminput(dx) ;
|
323 |
125 |
jguarin200 |
end if;
|
324 |
127 |
jguarin200 |
|
325 |
136 |
jguarin200 |
|
326 |
127 |
jguarin200 |
if addsub='1' then
|
327 |
|
|
ssumando(s0) <= sparaminput(ax);
|
328 |
|
|
ssumando(s1) <= sparaminput(bx);
|
329 |
|
|
ssumando(s2) <= sparaminput(ay);
|
330 |
|
|
ssumando(s3) <= sparaminput(by);
|
331 |
|
|
ssumando(s4) <= sparaminput(az);
|
332 |
|
|
ssumando(s5) <= sparaminput(bz);
|
333 |
|
|
else
|
334 |
|
|
ssumando(s0) <= sprd32blk(p0);
|
335 |
|
|
ssumando(s1) <= sprd32blk(p1);
|
336 |
132 |
jguarin200 |
if crossprod='0' then
|
337 |
|
|
ssumando(s2) <= sadd32blk(a0);
|
338 |
|
|
ssumando(s3) <= sdpfifo_q(dpfifoab);
|
339 |
|
|
else
|
340 |
|
|
ssumando(s2) <= sprd32blk(p2);
|
341 |
|
|
ssumando(s3) <= sprd32blk(p3);
|
342 |
|
|
end if;
|
343 |
127 |
jguarin200 |
ssumando(s4) <= sprd32blk(p4);
|
344 |
|
|
ssumando(s5) <= sprd32blk(p5);
|
345 |
|
|
end if;
|
346 |
123 |
jguarin200 |
end process;
|
347 |
|
|
|
348 |
|
|
|
349 |
127 |
jguarin200 |
|
350 |
153 |
jguarin200 |
end architecture;
|