OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [ap_n_dpc.vhd] - Blame information for rev 161

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 158 jguarin200
 
26 151 jguarin200
use work.arithpack.all;
27 134 jguarin200
 
28 158 jguarin200
 
29 123 jguarin200
entity dpc is
30 152 jguarin200
 
31 122 jguarin200
        port (
32 143 jguarin200
                clk,rst                                 : in    std_logic;
33 158 jguarin200
                paraminput                              : in    vectorblock12;                                                                  --! Vectores A,B,C,D
34
                prd32blko                               : in    vectorblock06;  --! Salidas de los 6 multiplicadores.
35
                add32blko                               : in    vectorblock04;  --! Salidas de los 4 sumadores.
36 152 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (floatwidth-1 downto 0);                --! Salidas de la raiz cuadradas y el inversor.
37
                fifo32x23_q                             : in    std_logic_vector (03*floatwidth-1 downto 0);             --! Salida de la cola intermedia.
38
                fifo32x09_q                             : in    std_logic_vector (02*floatwidth-1 downto 0);     --! Salida de las colas de producto punto. 
39 127 jguarin200
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
40 152 jguarin200
                sync_chain_0                    : in    std_logic;                                                                      --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
41
                eoi_int                                 : in    std_logic;                                                                      --! Se&ntilde;al de interrupci&oacute;n de final de instrucci&oacute;n.
42
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Se&ntilde;al de interrupci&oacute;n de final de instrucci&oacute;n pero esta vez va asociada a la instrucc&oacute;n UCA.
43
                sqr32blki,inv32blki             : out   std_logic_vector (floatwidth-1 downto 0);                --! Salidas de las 2 raices cuadradas y los 2 inversores.
44
                fifo32x26_d                             : out   std_logic_vector (03*floatwidth-1 downto 0);             --! Entrada a la cola intermedia para la normalizaci&oacute;n.
45
                fifo32x09_d                             : out   std_logic_vector (02*floatwidth-1 downto 0);             --! Entrada a las colas intermedias del producto punto.         
46 158 jguarin200
                prd32blki                               : out   vectorblock12;  --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
47
                add32blki                               : out   vectorblock08;  --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
48 149 jguarin200
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
49
                fifo32x09_w                             : out   std_logic;
50 143 jguarin200
                fifo32x23_w,fifo32x09_r : out   std_logic;
51
                fifo32x23_r                             : out   std_logic;
52 150 jguarin200
                resf_vector                             : in    std_logic_vector (3 downto 0);                           --! Entradas de la se&ntilde;al de full de las colas de resultados. 
53
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operaci&oacute;n que est&aacute; en curso.
54 158 jguarin200
                resultoutput                    : out   vectorblock08 --! 8 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
55 122 jguarin200
        );
56 153 jguarin200
end entity;
57 122 jguarin200
 
58 123 jguarin200
architecture dpc_arch of dpc is
59 125 jguarin200
 
60
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
61 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
62
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
63
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
64
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
65
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
66 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
67
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
68 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
69 127 jguarin200
 
70 124 jguarin200
        constant dpfifoab : integer := 00;
71
        constant dpfifocd : integer := 01;
72
 
73 122 jguarin200
 
74
 
75 127 jguarin200
 
76 143 jguarin200
 
77 161 jguarin200
        signal sparaminput                                      : vectorblock12;
78
        --!TBXSTART:FACTORS_N_ADDENDS
79
        signal sfactor                                          : vectorblock12;
80
        signal ssumando                                         : vectorblock08;
81
        --!TBXEND
82
        signal sresult                                          : vectorblock08;
83 127 jguarin200
        signal sprd32blk                                        : vectorblock06;
84
        signal sadd32blk                                        : vectorblock04;
85 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
86 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
87 152 jguarin200
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);
88 160 jguarin200
 
89
        --!TBXSTART:SYNC_CHAIN
90 142 jguarin200
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
91 143 jguarin200
        signal ssync_chain_d                            : std_logic;
92 160 jguarin200
        --!TBXEND
93 149 jguarin200
        signal sres567w,sres123w,sres2w         : std_logic;
94
        signal sres0w,sres4w                            : std_logic;
95 150 jguarin200
        signal sres567f,sres123f                        : std_logic; --! Entradas de la se&ntilde;al de full de las colas de resultados. 
96
        signal sres24f,sres0f                           : std_logic;
97 143 jguarin200
 
98
 
99 140 jguarin200
 
100 151 jguarin200
 
101 123 jguarin200
begin
102 122 jguarin200
 
103 142 jguarin200
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
104 145 jguarin200
        ssync_chain(0) <= sync_chain_0;
105 140 jguarin200
        sync_chain_proc:
106
        process(clk,rst)
107
        begin
108
                if rst=rstMasterValue then
109 145 jguarin200
                        ssync_chain(28 downto 1) <= (others => '0');
110 140 jguarin200
                elsif clk'event and clk='1' then
111 142 jguarin200
                        for i in 28 downto 1 loop
112
                                ssync_chain(i) <= ssync_chain(i-1);
113 140 jguarin200
                        end loop;
114
                end if;
115
        end process sync_chain_proc;
116 144 jguarin200
 
117 140 jguarin200
        --! Escritura en las colas de resultados y escritura/lectura en las colas intermedias mediante cadena de resultados.
118 143 jguarin200
        fifo32x09_w <= ssync_chain(5);
119
        fifo32x23_w <= ssync_chain(1);
120
        fifo32x09_r <= ssync_chain(13);
121
        fifo32x23_r <= ssync_chain(24);
122 149 jguarin200
        sres0w  <= ssync_chain(23);
123
        sres4w  <= ssync_chain(22);
124
        resw    <= sres567w&sres4w&sres123w&sres2w&sres0w;
125 140 jguarin200
        sync_chain_comb:
126 142 jguarin200
        process (ssync_chain,addsub,crossprod,unary)
127 140 jguarin200
        begin
128
                if unary='1' then
129 149 jguarin200
                        sres567w <= ssync_chain(28);
130 140 jguarin200
                else
131 149 jguarin200
                        sres567w <= ssync_chain(4);
132 140 jguarin200
                end if;
133 127 jguarin200
 
134 140 jguarin200
                if addsub='1' then
135 149 jguarin200
                        sres123w <= ssync_chain(9);
136
                        sres2w <= ssync_chain(9);
137 140 jguarin200
                else
138 149 jguarin200
                        sres123w <= ssync_chain(13);
139 140 jguarin200
                        if crossprod='1' then
140 149 jguarin200
                                sres2w <= ssync_chain(13);
141 140 jguarin200
                        else
142 149 jguarin200
                                sres2w <= ssync_chain(22);
143 140 jguarin200
                        end if;
144
                end if;
145
        end process sync_chain_comb;
146
 
147
 
148
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, simplemente son abstracciones a nivel de c&oacute;digo y no representar&aacute; cambios en la s&iacute;ntesis.
149 158 jguarin200
        sparaminput     <= paraminput;
150
        prd32blki       <= sfactor;
151
        add32blki       <= ssumando;
152
        resultoutput<= sresult;
153
 
154
 
155 138 jguarin200
        stuff04:
156 148 jguarin200
        for i in 02 downto 1 generate
157 158 jguarin200
                sadd32blk(i)  <= add32blko(i);
158 138 jguarin200
        end generate stuff04;
159
 
160
 
161 124 jguarin200
        stuff03:
162
        for i in 02 downto 0 generate
163 152 jguarin200
                snormfifo_q(i) <= fifo32x23_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
164
                fifo32x26_d(i*floatwidth+floatwidth-1 downto i*floatwidth) <= snormfifo_d(i);
165 124 jguarin200
        end generate stuff03;
166
 
167 123 jguarin200
        stuff02:
168 127 jguarin200
        for i in 01 downto 0 generate
169 152 jguarin200
                sdpfifo_q(i)  <= fifo32x09_q(i*floatwidth+floatwidth-1 downto i*floatwidth);
170 123 jguarin200
        end generate stuff02;
171 140 jguarin200
 
172
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, son abstracciones de c&oacute;digo tambi&eacute;n, sin embargo se realizan a trav&eacute;s de registros. 
173
        register_products_outputs:
174
        process (clk)
175
        begin
176
                if clk'event and clk='1' then
177 158 jguarin200
                        sprd32blk  <= prd32blko;
178 140 jguarin200
                end if;
179
        end process;
180
        --! Los productos del multiplicador 2 y 3, ya registrados dentro de dpc van a la cola intermedia del producto punto (fifo32x09_d)
181 148 jguarin200
        --! Los unicos resultados de sumandos que de nuevo entran al DataPathControl (observar la pesta&ntilde;a del documento de excel) 
182
 
183 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
184 140 jguarin200
        register_adder0_and_inversor_output:
185
        process (clk)
186
        begin
187
                if clk'event and clk='1' then
188 158 jguarin200
                        sadd32blk(a0) <= add32blko(a0);
189
                        sadd32blk(aa) <= add32blko(aa);
190 140 jguarin200
                        sinv32blk <= inv32blko;
191
                end if;
192
        end process;
193 122 jguarin200
 
194 127 jguarin200
 
195
 
196 140 jguarin200
 
197 144 jguarin200
        --! Raiz Cuadrada.
198 127 jguarin200
        ssqr32blk <= sqr32blko;
199
 
200 136 jguarin200
        --! Colas de salida de los distintos resultados;
201 127 jguarin200
        sresult(0) <= ssqr32blk;
202
        sresult(1) <= sadd32blk(a0);
203
        sresult(2) <= sadd32blk(a1);
204
        sresult(3) <= sadd32blk(a2);
205
        sresult(4) <= sadd32blk(aa);
206
        sresult(5) <= sprd32blk(p3);
207
        sresult(6) <= sprd32blk(p4);
208
        sresult(7) <= sprd32blk(p5);
209
 
210
        --! Cola de normalizacion
211
        snormfifo_d(qx) <= sparaminput(ax);
212
        snormfifo_d(qy) <= sparaminput(ay);
213
        snormfifo_d(qz) <= sparaminput(az);
214
 
215
 
216
 
217 136 jguarin200
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
218
        inv32blki <= sqr32blko;
219 139 jguarin200
        --! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
220 136 jguarin200
        sqr32blki <= sadd32blk(a1);
221 127 jguarin200
 
222
 
223 136 jguarin200
 
224
        --! Conectar las entradas del sumador a, a la salida 
225
        ssumando(s6) <= sadd32blk(a2);
226
        ssumando(s7) <= sdpfifo_q(dpfifocd);
227
 
228 144 jguarin200
        --!El siguiente proceso conecta la se&ntilde;al de cola "casi llena", de la cola que corresponde al resultado de la operaci&oacute;n indicada por los bit UCA (Unary, Crossprod, Addsub).
229 150 jguarin200
        sres0f          <= resf_vector(0);
230
        sres123f        <= resf_vector(1);
231
        sres24f         <= resf_vector(2);
232
        sres567f        <= resf_vector(3);
233
        fullQ:process(sres0f,sres123f,sres24f,sres567f,unary,crossprod,addsub,eoi_int)
234 143 jguarin200
        begin
235
                if unary='0' then
236 147 jguarin200
                        if crossprod='1' or addsub='1' then
237
                                eoi_demuxed_int <= "00"&eoi_int&'0';
238 150 jguarin200
                                resf_event <= sres123f;
239 143 jguarin200
                        else
240 147 jguarin200
                                eoi_demuxed_int <= '0'&eoi_int&"00";
241 150 jguarin200
                                resf_event <= sres24f;
242 143 jguarin200
                        end if;
243
                elsif crossprod='1' or addsub='1' then
244 147 jguarin200
                        eoi_demuxed_int <= eoi_int&"000";
245 150 jguarin200
                        resf_event <= sres567f;
246 143 jguarin200
                else
247 147 jguarin200
                        eoi_demuxed_int <= "000"&eoi_int;
248 150 jguarin200
                        resf_event <= sres0f;
249 143 jguarin200
                end if;
250
        end process;
251
 
252 144 jguarin200
        --! Decodificaci&oacute;n del Datapath.
253 142 jguarin200
        mul:process(unary,addsub,crossprod,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
254 123 jguarin200
        begin
255 124 jguarin200
 
256 142 jguarin200
                sfactor(f4) <= sparaminput(az);
257 127 jguarin200
                if unary='1' then
258
                        --! Magnitud y normalizacion
259
                        sfactor(f0) <= sparaminput(ax);
260
                        sfactor(f1) <= sparaminput(ax);
261
                        sfactor(f2) <= sparaminput(ay);
262
                        sfactor(f3) <= sparaminput(ay);
263 142 jguarin200
 
264 127 jguarin200
                        sfactor(f5) <= sparaminput(az);
265 142 jguarin200
                        if crossprod='1' and addsub='1' then
266
                                sfactor(f6) <= sparaminput(cx);
267
                                sfactor(f7) <= sparaminput(dx);
268
                                sfactor(f8) <= sparaminput(cy);
269
                                sfactor(f9) <= sparaminput(dx);
270
                                sfactor(f10) <= sparaminput(cz);
271
                                sfactor(f11) <= sparaminput(dx);
272
                        else
273
                                sfactor(f6) <= snormfifo_q(ax);
274
                                sfactor(f7) <= sinv32blk;
275
                                sfactor(f8) <= snormfifo_q(ay);
276
                                sfactor(f9) <= sinv32blk;
277
                                sfactor(f10) <= snormfifo_q(az);
278
                                sfactor(f11) <= sinv32blk;
279
                        end if;
280
 
281
 
282
                elsif addsub='0' then
283
                        --! Solo productos punto o cruz
284
                        if crossprod='1' then
285
 
286
                                sfactor(f0) <= sparaminput(ay);
287
                                sfactor(f1) <= sparaminput(bz);
288
                                sfactor(f2) <= sparaminput(az);
289
                                sfactor(f3) <= sparaminput(by);
290
 
291
                                sfactor(f5) <= sparaminput(bx);
292
                                sfactor(f6) <= sparaminput(ax);
293
                                sfactor(f7) <= sparaminput(bz);
294
                                sfactor(f8) <= sparaminput(ax);
295
                                sfactor(f9) <= sparaminput(by);
296
                                sfactor(f10) <= sparaminput(ay);
297
                                sfactor(f11) <= sparaminput(bx);
298
 
299
                        else
300
 
301
                                sfactor(f0) <=  sparaminput(ax) ;
302
                                sfactor(f1) <=  sparaminput(bx) ;
303
                                sfactor(f2) <=  sparaminput(ay) ;
304
                                sfactor(f3) <=  sparaminput(by) ;
305
                                sfactor(f5) <=  sparaminput(bz) ;
306
                                sfactor(f6) <=  sparaminput(cx) ;
307
                                sfactor(f7) <=  sparaminput(dx) ;
308
                                sfactor(f8) <=  sparaminput(cy) ;
309
                                sfactor(f9) <=  sparaminput(dy) ;
310
                                sfactor(f10) <= sparaminput(cz) ;
311
                                sfactor(f11) <= sparaminput(dz) ;
312
                        end if;
313
 
314 127 jguarin200
                else
315
                        sfactor(f0) <=  sparaminput(ax) ;
316
                        sfactor(f1) <=  sparaminput(bx) ;
317
                        sfactor(f2) <=  sparaminput(ay) ;
318
                        sfactor(f3) <=  sparaminput(by) ;
319
                        sfactor(f5) <=  sparaminput(bz) ;
320
                        sfactor(f6) <=  sparaminput(cx) ;
321
                        sfactor(f7) <=  sparaminput(dx) ;
322
                        sfactor(f8) <=  sparaminput(cy) ;
323
                        sfactor(f9) <=  sparaminput(dx) ;
324
                        sfactor(f10) <= sparaminput(cz) ;
325
                        sfactor(f11) <= sparaminput(dx) ;
326 125 jguarin200
                end if;
327 127 jguarin200
 
328 136 jguarin200
 
329 127 jguarin200
                if addsub='1' then
330
                        ssumando(s0) <= sparaminput(ax);
331
                        ssumando(s1) <= sparaminput(bx);
332
                        ssumando(s2) <= sparaminput(ay);
333
                        ssumando(s3) <= sparaminput(by);
334
                        ssumando(s4) <= sparaminput(az);
335
                        ssumando(s5) <= sparaminput(bz);
336
                else
337
                        ssumando(s0) <= sprd32blk(p0);
338
                        ssumando(s1) <= sprd32blk(p1);
339 132 jguarin200
                        if crossprod='0' then
340
                                ssumando(s2) <= sadd32blk(a0);
341
                                ssumando(s3) <= sdpfifo_q(dpfifoab);
342
                        else
343
                                ssumando(s2) <= sprd32blk(p2);
344
                                ssumando(s3) <= sprd32blk(p3);
345
                        end if;
346 127 jguarin200
                        ssumando(s4) <= sprd32blk(p4);
347
                        ssumando(s5) <= sprd32blk(p5);
348
                end if;
349 123 jguarin200
        end process;
350
 
351
 
352 127 jguarin200
 
353 153 jguarin200
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.