OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Blame information for rev 230

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 219 jguarin200
--! @file raytrac.vhd
2
--! @brief Sistema de Procesamiento Vectorial. La interface es compatible con el bus Avalon de Altera.  
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- raytrac.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23 150 jguarin200
library ieee;
24
use ieee.std_logic_1164.all;
25 211 jguarin200
use ieee.std_logic_unsigned.all;
26 219 jguarin200
use work.arithpack.all;
27 150 jguarin200
 
28 211 jguarin200
library altera_mf;
29
use altera_mf.altera_mf_components.all;
30
 
31
library lpm;
32
use lpm.lpm_components.all;
33
 
34
 
35 217 jguarin200
entity raytrac is
36 211 jguarin200
        generic (
37
                wd      :       integer := 32;
38
                fd      :       integer := 8;   --! Result Fifo Depth = 2**fd =256
39 219 jguarin200
                mb      :       integer := 4    --! Max Burst Length = 2**mb            
40 211 jguarin200
        );
41 150 jguarin200
        port (
42 211 jguarin200
                clk:    in std_logic;
43
                rst:    in std_logic;
44 150 jguarin200
 
45 211 jguarin200
                --! Avalon MM Slave
46
                slave_address                   :       in      std_logic_vector(3 downto 0);
47
                slave_read                              :       in      std_logic;
48
                slave_write                             :       in      std_logic;
49
                slave_readdata                  :       out std_logic_vector(31 downto 0);
50
                slave_writedata                 :       in      std_logic_vector(31 downto 0);
51
 
52
                --! Avalon MM Master (Read & Write common signals)      
53
                master_address                  :       out std_logic_vector(31 downto 0);
54
                master_burstcount               :       out std_logic_vector(4 downto 0);
55
                master_waitrequest              :       in      std_logic;
56 150 jguarin200
 
57 211 jguarin200
                --! Avalon MM Master (Read Stage)
58
                master_read                             :       out     std_logic;
59
                master_readdata                 :       in      std_logic_vector(31 downto 0);
60
                master_readdatavalid    :       in      std_logic;
61 202 jguarin200
 
62 211 jguarin200
                --! Avalon MM Master (Write Stage)
63
                master_write                    :       out     std_logic;
64
                master_writedata                :       out std_logic_vector(31 downto 0);
65 150 jguarin200
 
66 211 jguarin200
                --! Avalon IRQ
67
                irq                                             :       out std_logic
68 150 jguarin200
 
69 211 jguarin200
 
70
 
71 150 jguarin200
        );
72
end entity;
73
 
74
 
75 217 jguarin200
architecture raytrac_arch of raytrac is
76 211 jguarin200
 
77 229 jguarin200
 
78 211 jguarin200
        --! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html .... 
79 230 jguarin200
        attribute altera_attribute : string;
80
        attribute altera_attribute of raytrac_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
81 161 jguarin200
 
82 211 jguarin200
 
83 219 jguarin200
        type    registerblock   is array (15 downto 0) of xfloat32;
84 211 jguarin200
        type    transferState   is (IDLE,SINK,SOURCE);
85 219 jguarin200
        type    upload_chain    is (UPVX,UPVY,UPVZ,SC,DMA);
86
        type    download_chain  is (DWAX,DWAY,DWAZ,DWBX,DWBY,DWBZ,DWAXBX,DWAYBY,DWAZBZ);
87 202 jguarin200
 
88 211 jguarin200
        constant reg_ctrl                               :       integer:=00;
89
        constant reg_vz                                 :       integer:=01;
90
        constant reg_vy                                 :       integer:=02;
91
        constant reg_vx                                 :       integer:=03;
92
        constant reg_scalar                             :       integer:=04;
93
        constant reg_scratch00                  :       integer:=05;
94
        constant reg_outputcounter              :       integer:=06;
95
        constant reg_inputcounter               :       integer:=07;
96
        constant reg_fetchstart                 :       integer:=08;
97
        constant reg_sinkstart                  :       integer:=09;
98
        constant reg_ax                                 :       integer:=10;
99
        constant reg_ay                                 :       integer:=11;
100
        constant reg_az                                 :       integer:=12;
101
        constant reg_bx                                 :       integer:=13;
102
        constant reg_by                                 :       integer:=14;
103
        constant reg_bz                                 :       integer:=15;
104
 
105
 
106 172 jguarin200
 
107 211 jguarin200
        constant reg_ctrl_cmb                   :       integer:=00;    --! CMB bit : Combinatorial Instruction.
108
        constant reg_ctrl_s                             :       integer:=01;    --! S bit of the DCS field.
109
        constant reg_ctrl_c                             :       integer:=02;    --! C bit of the DCS field.
110
        constant reg_ctrl_d                             :       integer:=03;    --! D bit of the DCS field.
111 202 jguarin200
 
112 211 jguarin200
        constant reg_ctrl_sc                    :       integer:=04;    --! SC bit of the VTSC field.
113
        constant reg_ctrl_vt                    :       integer:=05;    --! VT bit of the VTSC field.
114 217 jguarin200
        constant reg_ctrl_dma                   :       integer:=06;    --! DMA bit.
115 211 jguarin200
        constant reg_ctrl_flags_fc              :       integer:=07;    --! Flood Condition Flag.
116 202 jguarin200
 
117 211 jguarin200
        constant reg_ctrl_flags_dc              :       integer:=08;    --! Drain Condition Flag.       
118
        constant reg_ctrl_flags_wp              :       integer:=09;    --! Write on Memory Pending Flag.
119
        constant reg_ctrl_flags_pp              :       integer:=10;    --! Pipeline Pending Flag.
120
        constant reg_ctrl_flags_pl              :       integer:=11;    --! Load Parameter Pending Flag.
121 202 jguarin200
 
122 211 jguarin200
        constant reg_ctrl_flags_dp              :       integer:=12;    --! Data Pending flag.
123
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
124
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
125
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
126 202 jguarin200
 
127 229 jguarin200
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
128
        constant reg_ctrl_aeb                   :       integer:=17;    --! A==B.
129
        constant reg_ctrl_ageb                  :       integer:=18;    --! A>=B.
130
        constant reg_ctrl_nfetch_low    :       integer:=19;    --! NFETCH_LOW : Lower bit to program the number of addresses to load in the interconnection.
131 211 jguarin200
        constant reg_ctrl_nfetch_high   :       integer:=30;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
132
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
133
 
134
 
135
        --! Avalon MM Slave
136 229 jguarin200
 
137 211 jguarin200
        signal  sreg_block                      :       registerblock;
138
        signal  sslave_read                     :       std_logic;
139
        signal  sslave_write            :       std_logic;
140 219 jguarin200
        signal  sslave_writedata        :       std_logic_vector (wd-1 downto 0);
141
        signal  sslave_address          :       std_logic_vector (3 downto 0);
142 211 jguarin200
        signal  sslave_waitrequest      :       std_logic;
143 217 jguarin200
 
144 211 jguarin200
        --! Avalon MM Master
145
        signal  smaster_write           :       std_logic;
146
        signal  smaster_read            :       std_logic;
147 202 jguarin200
 
148 211 jguarin200
        --! State Machine and event signaling
149
        signal sm                                       :       transferState;
150
 
151 230 jguarin200
        signal sr_e                                     :       std_logic;
152 229 jguarin200
        signal sr_ack                           :       std_logic;
153 211 jguarin200
        signal soutb_ack                        :       std_logic;
154
 
155
 
156 229 jguarin200
 
157 211 jguarin200
        signal soutb_d                          :       std_logic_vector(wd-1 downto 0);
158
 
159
 
160
        signal soutb_w                          :       std_logic;
161
 
162
        signal soutb_e                          :       std_logic;
163
        signal soutb_ae                         :       std_logic;
164
        signal soutb_af                         :       std_logic;
165
        signal soutb_usedw                      :       std_logic_vector(fd-1 downto 0);
166
 
167
        signal ssync_chain_1            :       std_logic;
168 229 jguarin200
 
169 211 jguarin200
        signal ssync_chain_pending      :       std_logic;
170
        signal sfetch_data_pending      :       std_logic;
171
        signal sload_add_pending        :       std_logic;
172
        signal spipeline_pending        :       std_logic;
173
        signal swrite_pending           :   std_logic;
174
        signal sparamload_pending       :       std_logic;
175
        signal sZeroTransit                     :       std_logic;
176
 
177
 
178
        --!Unload Control
179
        signal supload_chain    : upload_chain;
180
        signal supload_start    : upload_chain;
181 202 jguarin200
 
182 211 jguarin200
        --!Se&ntilde;ales de apoyo:
183
        signal zero : std_logic_vector(31 downto 0);
184
 
185
        --!High Register Bank Control Signals or AKA Load Sync Chain Control
186
        signal sdownload_chain  : download_chain;
187
        signal sdownload_start  : download_chain;
188
        signal srestart_chain   : std_logic;
189
        --!State Machine Hysteresis Control Signals
190
        signal sdrain_condition         : std_logic;
191
        signal sdrain_burstcount        : std_logic_vector(mb downto 0);
192
        signal sdata_fetch_counter      : std_logic_vector(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low);
193
        signal sburstcount_sink         : std_logic_vector(mb downto 0);
194
 
195
        signal sflood_condition         : std_logic;
196
        signal sflood_burstcount        : std_logic_vector(mb downto 0);
197 177 jguarin200
 
198 219 jguarin200
        --! Arithmetic Pipeline and Data Path Control
199
        component ap_n_dpc
200
        port (
201
                clk                                             : in    std_logic;
202
                rst                                             : in    std_logic;
203 229 jguarin200
                ax                                              : in    std_logic_vector(31 downto 0);
204
                ay                                              : in    std_logic_vector(31 downto 0);
205
                az                                              : in    std_logic_vector(31 downto 0);
206
                bx                                              : in    std_logic_vector(31 downto 0);
207
                by                                              : in    std_logic_vector(31 downto 0);
208
                bz                                              : in    std_logic_vector(31 downto 0);
209
                vx                                              : out   std_logic_vector(31 downto 0);
210
                vy                                              : out   std_logic_vector(31 downto 0);
211
                vz                                              : out   std_logic_vector(31 downto 0);
212
                sc                                              : out   std_logic_vector(31 downto 0);
213
                ack                                             : in    std_logic;
214
                empty                                   : out   std_logic;
215
                dcs                                             : in    std_logic_vector(2 downto 0);            --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
216 219 jguarin200
                sync_chain_1                    : in    std_logic;              --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
217 229 jguarin200
                pipeline_pending                : out   std_logic               --! Se&ntilde;al para indicar si hay datos en el pipeline aritm&eacute;tico.    
218 219 jguarin200
        );
219
        end component;
220
 
221 230 jguarin200
        --! Nets para la salida de la cola de resultados y entrada del multiplexor del upload state machine.
222 229 jguarin200
        signal svx,svy,svz,ssc          : std_logic_vector(31 downto 0);
223 219 jguarin200
 
224 211 jguarin200
begin
225
 
226 219 jguarin200
        --!Zero agreggate
227 211 jguarin200
        zero    <= (others => '0');
228
 
229
 
230 219 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
231
--! ARITHMETIC PIPELINE AND DATA PATH INSTANTIATION  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  => 
232
--! *************************************************************************************************************************************************************************************************************************************************************
233 211 jguarin200
 
234 219 jguarin200
        --! Arithpipeline and Datapath Control Instance
235
        arithmetic_pipeline_and_datapath_controller : ap_n_dpc
236
        port map (
237
                clk                             => clk,
238
                rst                             => rst,
239 229 jguarin200
                ax                                      => sreg_block(reg_ax),
240
                ay                                      => sreg_block(reg_ay),
241
                az                                      => sreg_block(reg_az),
242
                bx                                      => sreg_block(reg_bx),
243
                by                                      => sreg_block(reg_by),
244
                bz                                      => sreg_block(reg_bz),
245
                vx                                      => svx,
246
                vy                                      => svy,
247
                vz                                      => svz,
248
                sc                                      => ssc,
249
                ack                                     => sr_ack,
250
                empty                           => sr_e,
251
                dcs                                     => sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s),
252 219 jguarin200
                sync_chain_1            => ssync_chain_1,
253 229 jguarin200
                pipeline_pending        => spipeline_pending
254 219 jguarin200
        );
255 211 jguarin200
 
256
 
257
--! ******************************************************************************************************************************************************                                              
258
--! TRANSFER CONTROL RTL CODE
259
--! ******************************************************************************************************************************************************                                              
260
        TRANSFER_CONTROL:
261 229 jguarin200
        process(clk,rst,master_waitrequest,sm,soutb_ae,soutb_usedw,spipeline_pending,soutb_e,zero,soutb_af,sfetch_data_pending,sreg_block,sslave_write,sslave_address,sslave_writedata,ssync_chain_pending,smaster_read,smaster_write,sdata_fetch_counter,sload_add_pending,swrite_pending,sdownload_chain)
262 211 jguarin200
        begin
263 202 jguarin200
 
264 211 jguarin200
                --! Conexi&oacuteln a se&ntilde;ales externas. 
265
                irq <= sreg_block(reg_ctrl)(reg_ctrl_irq);
266
                master_read <= smaster_read;
267
                master_write <= smaster_write;
268 202 jguarin200
 
269 217 jguarin200
                --! Direct Memory Access Selector.
270 150 jguarin200
 
271 217 jguarin200
 
272
 
273 211 jguarin200
                --! ZERO_TRANSIT: Cuando todos los elementos de sincronizaci&oacute;n est&aacute;n en cero menos la cola de sincronizaci&oacute;n de carga de parametros.
274
                sZeroTransit <= not(sload_add_pending or sfetch_data_pending or spipeline_pending or swrite_pending);
275 202 jguarin200
 
276 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION OUT QUEUE: Datos pendientes por cargar a la memoria a trav&eacute;s de la interconexi&oacute;n
277
                swrite_pending <= not(soutb_e);
278 202 jguarin200
 
279
 
280 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION DESCARGA DE DATOS: Hay datos pendientes por descargar desde la memoria a trav&eacute;s de la interconexi&oacute;n.
281
                if sdata_fetch_counter=zero(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low) then
282
                        sfetch_data_pending <= '0';
283
                else
284
                        sfetch_data_pending <= '1';
285
                end if;
286
 
287
                --! ELEMENTO DE SINCRONIZACION CARGA DE DIRECCIONES: Hay direcciones pendientes por cargar a la interconexi&oacute;n?
288
                if sreg_block(reg_ctrl)(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low)=zero(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low) then
289
                        sload_add_pending <= '0';
290
                else
291
                        sload_add_pending <= '1';
292
                end if;
293 202 jguarin200
 
294 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION CARGA DE OPERANDOS: Se est&aacute;n cargando los operandos que ser&aacute;n operados en el pipeline aritm&eacute;tico.
295 219 jguarin200
                if sdownload_chain /= DWAX and sdownload_chain /= DWAXBX then
296 211 jguarin200
                        sparamload_pending <= '1';
297
                else
298
                        sparamload_pending <= '0';
299
                end if;
300
 
301
                --! Se debe iniciar una transacci&oacute;n de descarga de datos desde la memoria externa?
302
                if soutb_af='0' and sload_add_pending='1' then
303
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados continuar&aacute; si no est&aacute; tan llena y adem&aacute;s hay pendientes datos por ser descargados.
304
                        sflood_condition <= '1';
305
                else
306
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados debe parar porque est&aacute; cas&iacute; llena.       
307
                        sflood_condition <= '0';
308
                end if;
309
                if sreg_block(reg_ctrl)(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low+mb)/=zero(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low+mb) then
310
                        --! Flow Control: Si el n&uacute;mero de descargas pendientes es mayor o igual al max burst length, entonces cargar max burst en el contador.
311
                        sflood_burstcount <= '1'&zero(mb-1 downto 0);
312
                else
313
                        --! Flow Control: Si le n&uacute;mero de descargas pendientes es inferior a Max Burst Count entonces cargar los bits menos significativos del registro de descargas pendientes.
314
                        sflood_burstcount <= '0'&sreg_block(reg_ctrl)(reg_ctrl_nfetch_low+mb-1 downto reg_ctrl_nfetch_low);
315
                end if;
316 202 jguarin200
 
317 211 jguarin200
                --! Se debe iniciar una transacci&oacute;n de carga de datos hacia la memoria externa?
318
                if soutb_ae='1' then
319
                        --! Flow Control : Cuando se est&eacute; drenando la cola de resultados, si la cola est&aacute; cas&iacute; vac&iaute;a, la longitud del burst ser&aacute;n los bits menos significativos del contador de la cola.  
320
                        sdrain_burstcount <= soutb_usedw(mb downto 0);
321
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola bajo y no hay datos transitando por el pipeline, ni datos pendientes por cargar desde la memoria.   
322
                        sdrain_condition <= not(sload_add_pending) and not(sfetch_data_pending) and not(spipeline_pending) and swrite_pending;
323
                else
324
                        --! Flow Control: Cuando se est&eacute; drenando la cola de resultados, si la cola de tiene una cantidad de datos mayor al burst count entonces se har&aacute; una transacci&oacute;n de longitud equivalente al burst count.
325
                        sdrain_burstcount <= '1'&zero(mb-1 downto 0);
326
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola es mayor o igual a 2**mb O si hay muy pocos datos y no hay datos transitando por el pipeline.   
327
                        sdrain_condition <= '1';
328
                end if;
329 202 jguarin200
 
330 211 jguarin200
                --! Restart param load chain
331
                srestart_chain <= sreg_block(reg_ctrl)(reg_ctrl_irq) and sreg_block(reg_ctrl)(reg_ctrl_rlsc);
332 202 jguarin200
 
333 217 jguarin200
                --! Data dumpster: Descaratar dato de upload una vez la interconexi&oacute;n haya enganchado el dato.
334 211 jguarin200
                if sm=SINK and master_waitrequest='0' and smaster_write='1' then
335
                        soutb_ack <= '1';
336
                else
337
                        soutb_ack <= '0';
338
                end if;
339 202 jguarin200
 
340 217 jguarin200
 
341
 
342 211 jguarin200
                --! Flow Control State Machine.
343
                if rst=rstMasterValue then
344
 
345
                        --! State Machine 
346
                        sm <= IDLE;
347
 
348
 
349
                        --! Master Write & Read Common Signals Reset Value
350
                        master_burstcount       <= (others => '0');
351
                        master_address          <= (others => '0');
352
                        sdata_fetch_counter     <= (others => '0');
353
                        sburstcount_sink        <= (others => '0');
354 150 jguarin200
 
355 211 jguarin200
                        --! Master Read Only Signals Reset Value
356
                        smaster_read            <= '0';
357
 
358
                        --! Master Write Only Signals
359
                        smaster_write           <= '0';
360
 
361
                        --! Reg Ctrl & Fetch address and writeaddress
362
                        --! Sinking address
363
                        sreg_block(reg_sinkstart) <= (others => '0');
364
                        --! Sourcing address
365
                        sreg_block(reg_fetchstart) <= (others => '0');
366
                        --! Control and Status Register
367
                        sreg_block(reg_ctrl) <= (others => '0');
368
                        --! Contador Overall
369
                        sreg_block(reg_inputcounter) <= (others => '0');
370
                        sreg_block(reg_outputcounter) <= (others => '0');
371
 
372
 
373
                elsif clk'event and clk='1' then
374 150 jguarin200
 
375 211 jguarin200
                        --! Nevermind the State, discount the incoming valid data counter.
376
                        sdata_fetch_counter <= sdata_fetch_counter-master_readdatavalid;
377
 
378
                        --! Debug Counter.
379
                        sreg_block(reg_inputcounter) <= sreg_block(reg_inputcounter) + master_readdatavalid;
380
                        sreg_block(reg_outputcounter) <= sreg_block(reg_outputcounter) + soutb_ack;
381 152 jguarin200
 
382 211 jguarin200
                        --! Flags
383
 
384
 
385
                        case sm is
386
                                when SOURCE =>
387
                                        --! ******************************************************************************************************************************************************                                              
388
                                        --! Flooding the pipeline ........
389
                                        --! ******************************************************************************************************************************************************                                              
390
                                        if smaster_read='0' then
391
                                                if sflood_condition = '1' then
392
                                                        --! Flow Control: Hay suficiente espacio en el buffer de salida y hay descargas pendientes por hacer
393
                                                        smaster_read <= '1';
394
                                                        master_address <= sreg_block(reg_fetchstart);
395
                                                        master_burstcount <= sflood_burstcount;
396
                                                        sdata_fetch_counter <= sdata_fetch_counter+sflood_burstcount-master_readdatavalid;
397
                                                        --! Context Saving:
398
                                                        sreg_block(reg_fetchstart) <= sreg_block(reg_fetchstart) + (sflood_burstcount&"00");
399
                                                        sreg_block(reg_ctrl)(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low) <= sreg_block(reg_ctrl)(reg_ctrl_nfetch_high downto reg_ctrl_nfetch_low) - sflood_burstcount;
400
                                                else
401
                                                        --! Flow Control : Cambiar al estado SINK, porque o est&aacute; muy llena la cola de salida o no hay descargas pendientes por realizar.
402
                                                        sm <= SINK;
403
                                                end if;
404
                                        else --master_read=1;
405
                                                if master_waitrequest='0' then
406
                                                        --! Las direcciones de lectura est&aacute;n cargadas. Terminar la transferencia.
407
                                                        smaster_read <= '0';
408
                                                end if;
409
                                        end if;
410
                                when SINK =>
411
 
412
                                        --! ******************************************************************************************************************************************************                                              
413
                                        --! Draining the pipeline ........
414
                                        --! ******************************************************************************************************************************************************                                              
415
                                        if smaster_write='0' then
416
 
417
                                                if sdrain_condition='1' then
418
                                                        --! Flow Control : Hay muchos datos aun en la cola de resultados &Oacute; la cola de resultados est&aacute; cas&iacute; vac&iacute;a y no hay datos transitando en el pipeline aritm&eetico.
419
                                                        smaster_write <= '1';
420
                                                        master_address <= sreg_block(reg_sinkstart);
421
                                                        master_burstcount <= sdrain_burstcount;
422 150 jguarin200
 
423 211 jguarin200
                                                        --!Context Saving
424
                                                        sreg_block(reg_sinkstart) <= sreg_block(reg_sinkstart) + (sdrain_burstcount&"00");
425
                                                        sburstcount_sink <= sdrain_burstcount-1;
426
                                                else
427
                                                        --! Flow Control: Son muy pocos los datos que hay en el buffer de salida y existen aun datos transitando en el resto del pipe ir al estado SOURCE.
428
                                                        if sZeroTransit='1' then
429
 
430
                                                                --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
431
                                                                sm <= IDLE;
432
                                                                sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
433
                                                                sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
434 217 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
435 211 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
436 229 jguarin200
 
437 211 jguarin200
                                                        else
438
 
439
                                                                --! Flow Control: Cambiar a Source porque aun hay elementos transitando.
440
                                                                sm <= SOURCE;
441
                                                        end if;
442
 
443
                                                end if;
444
                                        else --!smaster_write=1 
445
                                                if master_waitrequest = '0' then
446
 
447
                                                        --! Descartar datos : revisar antes de este proceso secuencial la parte combinatoria (Data Dumpster).
448
 
449
 
450
                                                        if sburstcount_sink/=zero(mb downto 0) then
451
 
452
                                                                --! Datos pendientes por transmitir aun en el burst. Restar uno 
453
                                                                sburstcount_sink <= sburstcount_sink-1;
454
                                                        else
455
 
456
                                                                --! No escribir mas. Finalizar la transmisi&oacute;n
457
                                                                smaster_write <= '0';
458
 
459
                                                                --! Si no hay transito de dato se con terminada la instrucci&oacute;n siempre que el estado de control de flujo est&eacute; sidera  
460
                                                                if sZeroTransit='1' then
461
 
462
                                                                        --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
463
                                                                        sm <= IDLE;
464
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
465
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
466 217 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
467 211 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
468
 
469
                                                                end if;
470
                                                        end if;
471
                                                end if;
472
                                        end if;
473
 
474
                                when IDLE =>
475
                                        --! ******************************************************************************************************************************************************                                              
476
                                        --! Programming the pipeline
477
                                        --! ******************************************************************************************************************************************************                                              
478
                                        --! El registro de control en sus campos fetch e irq, es escribile solo cuando estamos en estado IDLE.           
479
                                        if sslave_write='1' then
480
                                                case sslave_address is
481
                                                        when x"0" =>
482
                                                                --! Solo se permitira escribir en el registro de control si no hay una interrupci&oacute;n activa o si la hay solamente si se esta intentando desactivar la interrupci&acute;n 
483
                                                                if sreg_block(reg_ctrl)(reg_ctrl_irq)='0' or sslave_writedata(reg_ctrl_irq)='0' then
484
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq downto reg_ctrl_nfetch_low) <= sslave_writedata(reg_ctrl_irq downto reg_ctrl_nfetch_low);
485
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_wp-1 downto reg_ctrl_cmb) <= sslave_writedata(reg_ctrl_flags_wp-1 downto reg_ctrl_cmb);
486 229 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rlsc) <= sslave_writedata(reg_ctrl_rlsc);
487
                                                                        sreg_block(reg_ctrl)(reg_ctrl_ageb downto reg_ctrl_alb) <=sslave_writedata(reg_ctrl_ageb downto reg_ctrl_alb);
488 211 jguarin200
                                                                end if;
489
                                                        when x"6" => sreg_block(reg_outputcounter) <= sslave_writedata;
490
                                                        when x"7" => sreg_block(reg_inputcounter) <= sslave_writedata;
491
                                                        when x"8" => sreg_block(reg_fetchstart) <= sslave_writedata;
492
                                                        when x"9" => sreg_block(reg_sinkstart) <= sslave_writedata;
493
                                                        when others => null;
494
                                                end case;
495
                                        else
496
 
497
                                                if sZeroTransit='0' then
498
 
499
 
500
                                                        --! Flow Control: Existe un n&uacute;mero de descargas programadas por el sistema, comenzar a realizarlas.
501
                                                        --! Ir al estado Source.
502
                                                        sm <= SOURCE;
503
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '1';
504 219 jguarin200
 
505
                                                else
506
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
507
 
508 211 jguarin200
                                                end if;
509
                                        end if;
510
                        end case;
511
                end if;
512
        end process;
513
--! ******************************************************************************************************************************************************                                              
514
--! FLOW CONTROL RTL CODE
515
--! ******************************************************************************************************************************************************                                              
516 229 jguarin200
--! buffer de salida
517 211 jguarin200
--! ******************************************************************************************************************************************************                                              
518
        output_buffer:scfifo
519
        generic map (almost_empty_value => 2**mb,almost_full_value => (2**fd)-52, lpm_widthu => fd, lpm_numwords => 2**fd, lpm_showahead => "ON", lpm_width => 32, overflow_checking => "ON", underflow_checking => "ON", use_eab => "ON")
520
        port map        (empty => soutb_e, aclr => '0', clock => clk, rdreq      => soutb_ack, wrreq     => soutb_w,     q => master_writedata, usedw    => soutb_usedw, almost_full => soutb_af, almost_empty => soutb_ae, data => soutb_d);
521
--! ******************************************************************************************************************************************************                                              
522
--! PROCESO DE CONTROL DE FLUJO ENTRE EL BUFFER DE RESULTADOS Y EL BUFFER DE SALIDA
523
--! ******************************************************************************************************************************************************                                              
524
 
525
        FLOW_CONTROL_OUTPUT_STAGE:
526 229 jguarin200
        process (clk,rst,master_readdata, master_readdatavalid,sr_e,sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc),sm,supload_chain,zero,ssync_chain_pending,supload_start)
527 211 jguarin200
        begin
528
 
529
 
530
                --! Compute initial State.
531
 
532
                --! Escribir en el output buffer.
533 217 jguarin200
                if supload_chain=DMA then
534
                        --! Modo DMA escribir los datos de entrada directamente en el buffer.
535
                        soutb_w <= master_readdatavalid;
536
                else
537
                        --!Modo Arithmetic Pipeline 
538 229 jguarin200
                        soutb_w <= not(sr_e);
539 217 jguarin200
                end if;
540 211 jguarin200
 
541
                --! Control de lectura de la cola de resultados.
542 229 jguarin200
                if sr_e='0' then
543 211 jguarin200
                        --!Hay datos en la cola de resultados.
544 219 jguarin200
                        if (supload_chain=UPVZ and sreg_block(reg_ctrl)(reg_ctrl_sc)='0') or supload_chain=SC then
545 211 jguarin200
                                --!Se transfiere el ultimo componente vectorial y no se estan cargando resultados escalares.
546 229 jguarin200
                                sr_ack <= '1';
547 219 jguarin200
                        else
548 229 jguarin200
                                sr_ack <= '0';
549 211 jguarin200
                        end if;
550
                else
551 229 jguarin200
                        sr_ack <= '0';
552 211 jguarin200
                end if;
553
 
554 217 jguarin200
 
555 211 jguarin200
                --! Decodificar que salida de la cola de resultados se conecta a la entrada del otput buffer
556 217 jguarin200
                --! DMA Path Control: Si se encuentra habilitado el modo dma entonces conectar la entrada del buffer de salida a la interconexi&oacute;n
557 211 jguarin200
                case supload_chain is
558 219 jguarin200
                        when UPVX =>
559 229 jguarin200
                                soutb_d <= svx;
560 219 jguarin200
                        when UPVY =>
561 229 jguarin200
                                soutb_d <= svy;
562 219 jguarin200
                        when UPVZ =>
563 229 jguarin200
                                soutb_d <= svz;
564 211 jguarin200
                        when SC =>
565 229 jguarin200
                                soutb_d <= ssc;
566 217 jguarin200
                        when DMA =>
567
                                soutb_d <= master_readdata;
568 211 jguarin200
                end case;
569
 
570
 
571
                case sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc) is
572
                        when "01" =>
573
                                supload_start <= SC;
574
                        when others =>
575 219 jguarin200
                                supload_start <= UPVX;
576 211 jguarin200
                end case;
577
 
578
 
579
                --! M&aacute;quina de estados para el width adaptation RES(128) -> OUTPUTBUFFER(32).    
580
                if rst=rstMasterValue then
581 219 jguarin200
                        supload_chain <= UPVX;
582 217 jguarin200
                elsif clk'event and clk='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
583
                        --! Modo de operaci&oacute;n normal.
584 211 jguarin200
                        case supload_chain is
585 219 jguarin200
                                when UPVX =>
586 229 jguarin200
                                        if sr_e='1' then
587 211 jguarin200
                                                supload_chain <= supload_start;
588
                                        else
589 219 jguarin200
                                                supload_chain <= UPVY;
590 211 jguarin200
                                        end if;
591 219 jguarin200
                                when UPVY =>
592
                                        supload_chain <= UPVZ;
593
                                when UPVZ =>
594 211 jguarin200
                                        if sreg_block(reg_ctrl)(reg_ctrl_sc)='0' then
595 219 jguarin200
                                                supload_chain <= UPVX;
596 211 jguarin200
                                        else
597
                                                supload_chain <= SC;
598
                                        end if;
599 217 jguarin200
                                when SC|DMA =>
600 211 jguarin200
                                        supload_chain <= supload_start;
601 217 jguarin200
 
602 211 jguarin200
                        end case;
603 217 jguarin200
 
604
                elsif clk'event and clk='1' then
605
                        --! Modo DMA
606
                        supload_chain <= DMA;
607 211 jguarin200
                end if;
608
 
609
 
610
        end process;
611
--! ******************************************************************************************************************************************************                                              
612
--! PROCESO DE CONTROL DE FLUJO ENTRE LA ENTRADA DESDE LA INTERCONEXI&OACUTE;N Y LOS PARAMETROS DE ENTRADA EN EL PIPELINE ARITMETICO
613
--! ******************************************************************************************************************************************************                                              
614
        FLOW_CONTROL_INPUT_STAGE:
615 217 jguarin200
        process(clk,rst,master_readdatavalid,master_readdata,sreg_block(reg_ctrl)(reg_ctrl_dma downto reg_ctrl_s),sslave_write,sslave_address,supload_chain)
616 211 jguarin200
        begin
617
                --! Est&aacute; ocurriendo un evento de transici&oacute;n del estado TX al estado FETCH: Programar el enganche de par&aacute;metros que vienen de la interconexi&oacute;n.
618 219 jguarin200
                --! Mirar como es la carga inicial. Si es Normalizacion o Magnitud (dcs=110) entonces cargar DWAXBX de lo contrario solo DWAX.
619 211 jguarin200
                case sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s) is
620 221 jguarin200
                        when "110"      =>      sdownload_start <= DWAXBX;
621
                        when others     =>      sdownload_start <= DWAX;
622 211 jguarin200
                end case;
623
                if rst=rstMasterValue then
624
                        ssync_chain_1 <= '0';
625 219 jguarin200
                        sdownload_chain <= DWAX;
626 211 jguarin200
                        for i in reg_bz downto reg_ax loop
627
                                sreg_block(i) <= (others => '0');
628
                        end loop;
629
                elsif clk'event and clk='1' then
630
                        ssync_chain_1   <= '0';
631 217 jguarin200
                        if master_readdatavalid='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
632 211 jguarin200
                                --! El dato en la interconexi&oacute;n es valido, se debe enganchar. 
633
                                case sdownload_chain is
634 219 jguarin200
                                        when DWAX | DWAXBX  =>
635 211 jguarin200
                                                --! Cargar el operando correspondiente al componente "X" del vector "A" 
636
                                                ssync_chain_1 <= '0';
637
                                                sreg_block(reg_ax) <= master_readdata;
638 219 jguarin200
                                                if sdownload_start = DWAXBX then
639 211 jguarin200
                                                        --! Operaci&oacute;n Unaria por ejemplo magnitud de un vector
640
                                                        --! Escribir en el registro bx adicionalmente. 
641
                                                        sreg_block(reg_bx) <= master_readdata;
642
                                                        --! El siguiente estado es cargar el componente "Y" de del operando a ejecutar. 
643 219 jguarin200
                                                        sdownload_chain <= DWAYBY;
644 211 jguarin200
                                                else
645
                                                        --! Operaci&oacute;n de dos operandos. Por ejemplo Producto Cruz.
646
                                                        --! El siguiente estado es cargar el vector "Y" del operando "A".
647 219 jguarin200
                                                        sdownload_chain <= DWAY;
648 211 jguarin200
                                                end if;
649 219 jguarin200
                                        when DWAY | DWAYBY =>
650 211 jguarin200
                                                sreg_block(reg_ay) <= master_readdata;
651
                                                ssync_chain_1 <= '0';
652 219 jguarin200
                                                if sdownload_chain = DWAYBY then
653 211 jguarin200
                                                        sreg_block(reg_by) <= master_readdata;
654 219 jguarin200
                                                        sdownload_chain <= DWAZBZ;
655 211 jguarin200
                                                else
656 219 jguarin200
                                                        sdownload_chain <= DWAZ;
657 211 jguarin200
                                                end if;
658 219 jguarin200
                                        when DWAZ  | DWAZBZ =>
659 211 jguarin200
                                                sreg_block(reg_az) <= master_readdata;
660 219 jguarin200
                                                if sdownload_chain=DWAZBZ then
661 211 jguarin200
                                                        ssync_chain_1 <= '1';
662
                                                        sreg_block(reg_bz) <= master_readdata;
663 219 jguarin200
                                                        sdownload_chain <= DWAXBX;
664 211 jguarin200
                                                else
665
                                                        ssync_chain_1 <= '0';
666 219 jguarin200
                                                        sdownload_chain <= DWBX;
667 211 jguarin200
                                                end if;
668 219 jguarin200
                                        when DWBX  =>
669 211 jguarin200
                                                ssync_chain_1 <= '0';
670
                                                sreg_block(reg_bx) <= master_readdata;
671 219 jguarin200
                                                sdownload_chain <= DWBY;
672
                                        when DWBY =>
673 211 jguarin200
                                                ssync_chain_1 <= '0';
674
                                                sreg_block(reg_by) <= master_readdata;
675 219 jguarin200
                                                sdownload_chain <= DWBZ;
676
                                        when DWBZ =>
677 211 jguarin200
                                                sreg_block(reg_bz) <= master_readdata;
678
                                                ssync_chain_1 <= '1';
679
                                                if sreg_block(reg_ctrl)(reg_ctrl_cmb)='1' then
680 219 jguarin200
                                                        sdownload_chain <= DWBX;
681 211 jguarin200
                                                else
682 219 jguarin200
                                                        sdownload_chain <= DWAX;
683 211 jguarin200
                                                end if;
684
                                        when others =>
685
                                                null;
686
                                end case;
687
 
688
                                if srestart_chain='1' then
689
                                        sdownload_chain <= sdownload_start;
690
                                end if;
691
 
692
                        end if;
693
                end if;
694
        end process;
695
--! *************************************************************************************************************************************************************************************************************************************************************
696
--! AVALON MEMORY MAPPED MASTER FINISHED
697
--! *************************************************************************************************************************************************************************************************************************************************************
698
--! *************************************************************************************************************************************************************************************************************************************************************
699
--! AVALON MEMORY MAPPED SLAVE BEGINS =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>
700
--! *************************************************************************************************************************************************************************************************************************************************************
701
        --! Master Slave Process: Proceso para la escritura y lectura de registros desde el NIOS II.
702
        low_register_bank:
703 229 jguarin200
        process (clk,rst,sreg_block,soutb_w,supload_chain)
704 211 jguarin200
        begin
705
                if rst=rstMasterValue then
706
                        for i in reg_scratch00 downto reg_vz loop
707
                                sreg_block(i) <= (others => '0');
708
                        end loop;
709
 
710
                        slave_readdata <= (others => '0');
711
                        sslave_address <= (others => '0');
712
                        sslave_writedata <= (others => '0');
713
                        sslave_write <= '0';
714
                        sslave_read <= '0';
715
                elsif clk'event and clk='1' then
716
 
717
 
718
                        sslave_address          <= slave_address;
719
                        sslave_write            <= slave_write;
720
                        sslave_read                     <= slave_read;
721
                        sslave_writedata        <= slave_writedata;
722 229 jguarin200
 
723
 
724 230 jguarin200
                        for i in reg_scratch00 downto reg_vz loop
725 211 jguarin200
                                if sslave_address=i then
726
                                        if sslave_write='1' then
727
                                                sreg_block(i) <= sslave_writedata;
728
                                        end if;
729
                                end if;
730
                        end loop;
731
                        for i in 15 downto 0 loop
732
                                if sslave_address=i then
733
                                        if sslave_read='1' then
734
                                                slave_readdata <= sreg_block(i);
735
                                        end if;
736
                                end if;
737
                        end loop;
738
                end if;
739
        end process;
740
--! *************************************************************************************************************************************************************************************************************************************************************
741
--! AVALON MEMORY MAPPED SLAVE FINISHED
742
--! *************************************************************************************************************************************************************************************************************************************************************
743
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
744 217 jguarin200
        --! Control Register (reg_ctrl) BASE_ADDRESS + 0x0                                                                                                                                                                                              |
745 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
746
        --! Bit No.     | Nombre        | Descripci&oacute;n                                                                                                                                                                                            |
747
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
748 217 jguarin200
        --! 0           | cmb (rw)      | 1:    La operaci&oacute;n es combinatoria, por lo tanto cargan los primeros 3 valores en el Operando A y el           |
749
        --!                     |                       |               de vectores en el operando B.                                                                                                                                                           |
750 211 jguarin200
        --!                     |                       | 0:    La operaci&oacute;n no es combinatoria, se cargan vectores en los operandos A y B.                                                      |
751
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
752
        --!                     |                       |               Configuraci&oacute;n del Datapath, Interconexi&oacute;n del Pipeline Aritm&eacute;tico y Cadena de Carga        |
753
        --!                     |                       |               Dependiendo del valor de estos 3 bits se configura la operaci&oacute;n a ejecutar.                                                      |
754
        --!                     |                       |                                                                                                                                                                                                                                       |
755
        --! [3:1]       | dcs (rw)      | 011:  Producto Cruz                                                                                                                                                                                           |
756
        --!                     |                       | 000:  Suma Vectorial                                                                                                                                                                                          |
757
        --!                     |                       | 001:  Resta Vectorial                                                                                                                                                                                         |
758
        --!                     |                       | 110:  Normalizaci&oacute;n Vectorial y c&aacute;lculo de Magnitud Vectorial                                                                           |
759
        --!                     |                       | 100:  Producto Punto                                                                                                                                                                                          |
760
        --!                     |                       | 111:  Producto Simple                                                                                                                                                                                         |
761
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
762
        --! [5:4]       | vtsc (rw)     | 00:   Solo leer los resultados vectoriales.                                                                                                                                           |
763
        --!                     |                       | 01:   Solo leer los resultados escalares.                                                                                                                                                     |
764
        --!                     |                       | 10:   Solo leer los resultados vectoriales.                                                                                                                                           |
765
        --!                     |                       | 11:   Leer los resultados escalares y vectoriales.                                                                                                                            |
766
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
767 217 jguarin200
        --! 6           | dma (rw)      |  1:   Modo DMA: Los datos que ingresan se leen desde la direcci&oacute;n FETCHSTART (BASE+0x08) y se escriben en  |
768
        --!                     |                       |               la direcci&oacute;n SINKSTART (BASE+0x09).                                                                                                                                      |
769
        --!                     |                       |  0:   Modo Arithmetic Pipeline: Los datos ingresan en grupos de a 6 valores para 2 vectores de 3 valores cada uno,|
770
        --!                     |                       |               cuando se usa en modo uno a uno (cmb=1), &oacute; en grupos de 3 valores para 1 vector de 3 valores,            |
771
        --!                     |                       |               pero con el operando A fijado con el valor de la primera carga de valores en modo combinatorio (cmb=1).         |
772
        --!                     |                       |               De la misma manera que en modo DMA se cargan los operandos en la direcci&oacute;n FETCHSTART y se escriben      |
773
        --!                     |                       |               los resultados en la direcci&oacute;n SINKSTART.                                                                                                                        |
774
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
775
        --! 7           | flag_fc(r)|  1:       Al momento de generar una interrupci&oacute;n este bit se coloca en 1 si se cumplen las condiciones de          |
776
        --!                     |                       |               descarga de datos de la memoria (revisar el net signal sflood_condition). Si se encuentra en uno se                     |
777
        --!                     |                       |               tratar&iacute;a de una inconsistencia puesto que la interrupci&oacute;n se dispara una vez se ha terminado      |
778
        --!             |                       |               de ejecutar una instrucci&oacute;n y el que la bandera este en uno significa que hay transacciones de           |       
779
        --!                     |                       |               descarga de datos desde la memoria pendientes.                                                                                                                          |
780
        --!                     |                       |                                                                                                                                                                                                                                       |
781
        --!                     |                       |               En general que cualquiera de estas banderas se coloque en uno es una se&ntilde;alizacion de error, puesto       |
782
        --!                     |                       |               que una vez se ha terminado de ejecutar una instrucci&oacute;n no deben haber transacciones pendientes.         |
783
        --!                     |                       |               La raz&oacute;n de ser de estas banderas es hacer depuraci&oacute;n del hardware mas que del software.          |
784
        --!                     |                       |                                                                                                                                                                                                                                       |
785
        --!                     |                       |  0:   Flood Condition off.                                                                                                                                                                            |
786
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
787
        --! 8           | flag_dc(r)|  1:       Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |
788
        --!                     |                       |  0:   Drain Condition off.                                                                                                                                                                            |
789
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
790
        --! 9           | wp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |                                                                                                                                                                                       
791
        --!                     |                       |  0:   Write on Memory not pending.                                                                                                                                                            |
792
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
793
        --! 10          | pp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute;n y hay datos transitando el pipeline aritm&eacute;tico.       |
794
        --!                     |                       |  0:   Pipeline not pending.                                                                                                                                                                           |
795
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
796
        --! 11          | pl(r)         |  1:   La carga de parametros no se complet&oacute;. Esto por lo general pasa cuando uno va a realizar una                     |
797
        --!             |                       |               operaci&acute;n combinatoria y solo cargo el primer operando, el A, esto puede ocurrir porque por ejemplo       |
798
        --!                     |                       |               se puede desear sumar un conjunto de vectores a un vector de referencia. Este vector de referencia puede        |
799
        --!                     |                       |               estar en un area de memoria distinta, que el resto de los vectores. Por lo tanto el pseudo codigo para          |
800
        --!                     |                       |               ejecutar una operaci&oacute;n de este tipo seria:                                                                                                                       |
801
        --!                     |                       |                                                                                                                                                                                                                                       |       
802
        --!                     |                       |               ld vect,add,cmb;        //Resultados solo vectoriales, ejecutar operaci&oacute;n suma en modo combinatorio              |
803
        --!                     |                       |               ld &A;                          //Cargar la direccion del Vector A.                                                                                                             |
804
        --!                     |                       |               ld 3;                           //Cargar 3 valores, o sea el Vector A.                                                                                                  | 
805
        --!                     |                       |               wait int;                       //Esperar a que se ejecute la interrupcion. Una vez se termine de ejecutar si la bandera|
806
        --!                     |                       |                                                       //pl est&aacute; en uno se vuelve a comenzar y se deshecha el dato que hay como                 |
807
        --!                     |                       |                                                       //par&aacute;metro.     Para este ejemplo se asume que est&aacute en uno                                        |
808
        --!                     |                       |               ld &B;                          //Cargar la direcci&oacute;n donde se encuentran los vectores B                                                 |
809
        --!                     |                       |               ld &C;                          //Cargar la direcci&oacute;n donde se exribiran los resultados.                                                 |
810
        --!                     |                       |               ld 24;                          //Cargar los siguientes 24 valores a partir de &B correspondiente a 8 vectores                  |
811
        --!                     |                       |                                                       //ejecutando 8 sumas vectoriales que se escribir&iacute;n a apartir de &C                               |
812
        --!                     |                       |               wait int;                       //Esperar a que termine la ejecuci&oacute;n de las sumas.                                                               |
813
        --!                     |                       |                                                                                                                                                                                                                                       |
814
        --!                     |                       |  0:   Los operandos se cargaron integros se cargo del todo y no hubo que desechar parametros.                                         |
815
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
816
        --! 12          | dp (r)        |  1:   Error, la instrucci&oacute;n se termino y aun hay datos pendientes por ser descargados                                          |
817
        --!                     |                       |  0:   No hay datos pendientes por ser descargados.                                                                                                                            |
818
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
819
        --! 13          | ap (r)        |  1:   Carga de direcciones en la interconexi&oacute;n a&uacute;n est&aacute; pendiente y la instrucci&oacute; ya      |
820
        --!                     |                       |               se ejecut&oacute;                                                                                                                                                                                       |
821
        --!                     |                       |  0:   No hay direcciones pendientes por cargar.                                                                                                                                       |
822
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
823 211 jguarin200
        --! 14          | rlsc (rw)     | 1:    El sistema est&aacute; configurado para resetear la recarga sincronizada de par&aacute;metros una vez           |
824
        --!                     |                       |               concluya la instrucci&oacute;n                                                                                                                                                          |
825
        --!                     |                       |                                                                                                                                                                                                                                       |
826 217 jguarin200
        --!                     |                       | 0:    El sistema est&aacute; configurado para no resetear la cadena de sincronizaci&oacute;n de carga.                        |
827 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
828 217 jguarin200
        --! 15          | rom (r)       | 1: Los registros solo se pueden leer no se pueden escribir. Etsado SINK y SOURCE                                                                      |
829 211 jguarin200
        --!                     |                       | 0: Los registros se pueden leer y escribir.                                                                                                                                           |
830
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
831
        --! [30:16]     | nfetch(rw)| Cantidad de direcciones a cargar en la interconex&oacute;n para realizar la posterior descarga de datos de la     |
832
        --!                     |                       | memoria al RayTrac.
833
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
834
        --!     31              | irq           | 1:    Evento de interrupci&oacute;n. El usuario debe hacer clear de este bit para dar la interrupci&o;n por           |
835
        --!                     |                       |               por atendida. Este bit se pone en uno cuando el sistema pasa de estado TX a FETCH o FETCH a TX.                         |
836
        --!                     |                       |                                                                                                                                                                                                                                       |
837
        --!                     |                       | 0:    El RayTrac se encuentra en operaci&oacute;n Normal.                                                                                                                     |
838
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
839 217 jguarin200
        --! Result Vector Z component (reg_vz)  BASE_ADDRESS + 0x4                                                                                                                                                                      |
840 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
841 217 jguarin200
        --! Result Vector Y component (reg_vy) BASE_ADDRESS + 0x8                                                                                                                                                                       |
842 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
843 217 jguarin200
        --! Result Vector X component (reg_vx) BASE_ADDRESS + 0xC                                                                                                                                                                       |
844 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
845 217 jguarin200
        --! Result Vector Scalar component (reg_scalar) BASE_ADDRESS + 0x10                                                                                                                                                     |
846 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
847 217 jguarin200
        --! Scratch Vector 00   (reg_scratch00) BASE_ADDRESS +  0x14                                                                                                                                                            |
848 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
849 217 jguarin200
        --! output Data Counter (reg_outputcounter) BASE_ADDRESS + 0x18                                                                                                                                                         |
850 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
851 217 jguarin200
        --! Input Data Counter  (reg_inputcounter) BASE_ADDRESS + 0x1C                                                                                                                                                          |
852
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
853
        --! Data Fetch Start Address (reg_fetchstart) BASE_ADDRESS + 0x20                                                                                                                                                       |
854
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
855
        --! Data Write Start Address (reg_sinkstart) BASE_ADDRESS + 0x24                                                                                                                                                        |
856
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
857 219 jguarin200
        --! Parameter AX component (reg_ax) BASE_ADDRESS + 0x28                                                                                                                                                                         |
858 217 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
859
        --! Parameter Ay component (reg_ay) BASE_ADDRESS + 0x2C                                                                                                                                                                         |
860
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
861
        --! Parameter Az component (reg_az) BASE_ADDRESS + 0x30                                                                                                                                                                         |
862
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
863
        --! Parameter Bx component (reg_bx) BASE_ADDRESS + 0x34                                                                                                                                                                         |
864
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
865
        --! Parameter By component (reg_by) BASE_ADDRESS + 0x38                                                                                                                                                                         |
866
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
867
        --! Parameter Bz component (reg_bz) BASE_ADDRESS + 0x3C                                                                                                                                                                         |
868
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|   
869
 
870
 
871
 
872
 
873
 
874
 
875
end architecture;
876
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.