OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Blame information for rev 235

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 219 jguarin200
--! @file raytrac.vhd
2
--! @brief Sistema de Procesamiento Vectorial. La interface es compatible con el bus Avalon de Altera.  
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- raytrac.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23 150 jguarin200
library ieee;
24
use ieee.std_logic_1164.all;
25 211 jguarin200
use ieee.std_logic_unsigned.all;
26 219 jguarin200
use work.arithpack.all;
27 150 jguarin200
 
28 211 jguarin200
library altera_mf;
29
use altera_mf.altera_mf_components.all;
30
 
31
library lpm;
32
use lpm.lpm_components.all;
33
 
34
 
35 217 jguarin200
entity raytrac is
36 211 jguarin200
        generic (
37
                wd      :       integer := 32;
38
                fd      :       integer := 8;   --! Result Fifo Depth = 2**fd =256
39 219 jguarin200
                mb      :       integer := 4    --! Max Burst Length = 2**mb            
40 211 jguarin200
        );
41 150 jguarin200
        port (
42 211 jguarin200
                clk:    in std_logic;
43
                rst:    in std_logic;
44 150 jguarin200
 
45 211 jguarin200
                --! Avalon MM Slave
46 234 jguarin200
                slave_address                           :       in      std_logic_vector(3 downto 0);
47 211 jguarin200
                slave_read                              :       in      std_logic;
48
                slave_write                             :       in      std_logic;
49
                slave_readdata                  :       out std_logic_vector(31 downto 0);
50
                slave_writedata                 :       in      std_logic_vector(31 downto 0);
51
 
52
                --! Avalon MM Master (Read & Write common signals)      
53
                master_address                  :       out std_logic_vector(31 downto 0);
54 234 jguarin200
                master_burstcount                       :       out std_logic_vector(4 downto 0);
55
                master_waitrequest                      :       in      std_logic;
56 150 jguarin200
 
57 211 jguarin200
                --! Avalon MM Master (Read Stage)
58
                master_read                             :       out     std_logic;
59
                master_readdata                 :       in      std_logic_vector(31 downto 0);
60 234 jguarin200
                master_readdatavalid            :       in      std_logic;
61 202 jguarin200
 
62 211 jguarin200
                --! Avalon MM Master (Write Stage)
63
                master_write                    :       out     std_logic;
64
                master_writedata                :       out std_logic_vector(31 downto 0);
65 150 jguarin200
 
66 211 jguarin200
                --! Avalon IRQ
67
                irq                                             :       out std_logic
68 150 jguarin200
 
69 211 jguarin200
 
70
 
71 150 jguarin200
        );
72
end entity;
73
 
74
 
75 217 jguarin200
architecture raytrac_arch of raytrac is
76 211 jguarin200
 
77 229 jguarin200
 
78 211 jguarin200
        --! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html .... 
79 230 jguarin200
        attribute altera_attribute : string;
80
        attribute altera_attribute of raytrac_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
81 161 jguarin200
 
82 211 jguarin200
 
83 219 jguarin200
        type    registerblock   is array (15 downto 0) of xfloat32;
84 211 jguarin200
        type    transferState   is (IDLE,SINK,SOURCE);
85 231 jguarin200
        type upload_chain       is (UPVX,UPVY,UPVZ,SC,DMA);
86 219 jguarin200
        type    download_chain  is (DWAX,DWAY,DWAZ,DWBX,DWBY,DWBZ,DWAXBX,DWAYBY,DWAZBZ);
87 202 jguarin200
 
88 211 jguarin200
        constant reg_ctrl                               :       integer:=00;
89 231 jguarin200
        constant reg_vz                         :       integer:=01;
90
        constant reg_vy                         :       integer:=02;
91
        constant reg_vx                         :       integer:=03;
92
        constant reg_scalar                     :       integer:=04;
93
        constant reg_nfetch                     :       integer:=05;
94 211 jguarin200
        constant reg_outputcounter              :       integer:=06;
95
        constant reg_inputcounter               :       integer:=07;
96
        constant reg_fetchstart                 :       integer:=08;
97
        constant reg_sinkstart                  :       integer:=09;
98 231 jguarin200
        constant reg_ax                         :       integer:=10;
99
        constant reg_ay                         :       integer:=11;
100
        constant reg_az                         :       integer:=12;
101
        constant reg_bx                         :       integer:=13;
102
        constant reg_by                         :       integer:=14;
103
        constant reg_bz                         :       integer:=15;
104 211 jguarin200
 
105
 
106 172 jguarin200
 
107 211 jguarin200
        constant reg_ctrl_cmb                   :       integer:=00;    --! CMB bit : Combinatorial Instruction.
108 231 jguarin200
        constant reg_ctrl_s                     :       integer:=01;    --! S bit of the DCS field.
109
        constant reg_ctrl_c                     :       integer:=02;    --! C bit of the DCS field.
110
        constant reg_ctrl_d                     :       integer:=03;    --! D bit of the DCS field.
111 202 jguarin200
 
112 211 jguarin200
        constant reg_ctrl_sc                    :       integer:=04;    --! SC bit of the VTSC field.
113
        constant reg_ctrl_vt                    :       integer:=05;    --! VT bit of the VTSC field.
114 217 jguarin200
        constant reg_ctrl_dma                   :       integer:=06;    --! DMA bit.
115 211 jguarin200
        constant reg_ctrl_flags_fc              :       integer:=07;    --! Flood Condition Flag.
116 202 jguarin200
 
117 211 jguarin200
        constant reg_ctrl_flags_dc              :       integer:=08;    --! Drain Condition Flag.       
118
        constant reg_ctrl_flags_wp              :       integer:=09;    --! Write on Memory Pending Flag.
119
        constant reg_ctrl_flags_pp              :       integer:=10;    --! Pipeline Pending Flag.
120
        constant reg_ctrl_flags_pl              :       integer:=11;    --! Load Parameter Pending Flag.
121 202 jguarin200
 
122 211 jguarin200
        constant reg_ctrl_flags_dp              :       integer:=12;    --! Data Pending flag.
123
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
124
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
125
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
126 202 jguarin200
 
127 229 jguarin200
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
128
        constant reg_ctrl_aeb                   :       integer:=17;    --! A==B.
129
        constant reg_ctrl_ageb                  :       integer:=18;    --! A>=B.
130 211 jguarin200
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
131 231 jguarin200
 
132
        --! Nfetch Reg Mask
133
        constant reg_nfetch_high        :       integer:=11;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
134 211 jguarin200
 
135
 
136
        --! Avalon MM Slave
137 229 jguarin200
 
138 211 jguarin200
        signal  sreg_block                      :       registerblock;
139
        signal  sslave_read                     :       std_logic;
140
        signal  sslave_write            :       std_logic;
141 219 jguarin200
        signal  sslave_writedata        :       std_logic_vector (wd-1 downto 0);
142
        signal  sslave_address          :       std_logic_vector (3 downto 0);
143 211 jguarin200
        signal  sslave_waitrequest      :       std_logic;
144 217 jguarin200
 
145 211 jguarin200
        --! Avalon MM Master
146
        signal  smaster_write           :       std_logic;
147
        signal  smaster_read            :       std_logic;
148 202 jguarin200
 
149 211 jguarin200
        --! State Machine and event signaling
150
        signal sm                                       :       transferState;
151
 
152 230 jguarin200
        signal sr_e                                     :       std_logic;
153 229 jguarin200
        signal sr_ack                           :       std_logic;
154 211 jguarin200
        signal soutb_ack                        :       std_logic;
155
 
156
 
157 229 jguarin200
 
158 211 jguarin200
        signal soutb_d                          :       std_logic_vector(wd-1 downto 0);
159
 
160
 
161
        signal soutb_w                          :       std_logic;
162
 
163
        signal soutb_e                          :       std_logic;
164
        signal soutb_ae                         :       std_logic;
165
        signal soutb_af                         :       std_logic;
166
        signal soutb_usedw                      :       std_logic_vector(fd-1 downto 0);
167
 
168
        signal ssync_chain_1            :       std_logic;
169 229 jguarin200
 
170 211 jguarin200
        signal ssync_chain_pending      :       std_logic;
171
        signal sfetch_data_pending      :       std_logic;
172
        signal sload_add_pending        :       std_logic;
173
        signal spipeline_pending        :       std_logic;
174
        signal swrite_pending           :   std_logic;
175
        signal sparamload_pending       :       std_logic;
176
        signal sZeroTransit                     :       std_logic;
177
 
178
 
179
        --!Unload Control
180
        signal supload_chain    : upload_chain;
181
        signal supload_start    : upload_chain;
182 202 jguarin200
 
183 211 jguarin200
        --!Se&ntilde;ales de apoyo:
184
        signal zero : std_logic_vector(31 downto 0);
185
 
186
        --!High Register Bank Control Signals or AKA Load Sync Chain Control
187
        signal sdownload_chain  : download_chain;
188
        signal sdownload_start  : download_chain;
189
        signal srestart_chain   : std_logic;
190
        --!State Machine Hysteresis Control Signals
191
        signal sdrain_condition         : std_logic;
192
        signal sdrain_burstcount        : std_logic_vector(mb downto 0);
193 231 jguarin200
        signal sdata_fetch_counter      : std_logic_vector(reg_nfetch_high downto 0);
194 211 jguarin200
        signal sburstcount_sink         : std_logic_vector(mb downto 0);
195
 
196
        signal sflood_condition         : std_logic;
197
        signal sflood_burstcount        : std_logic_vector(mb downto 0);
198 177 jguarin200
 
199 219 jguarin200
        --! Arithmetic Pipeline and Data Path Control
200
        component ap_n_dpc
201
        port (
202
                clk                                             : in    std_logic;
203
                rst                                             : in    std_logic;
204 229 jguarin200
                ax                                              : in    std_logic_vector(31 downto 0);
205
                ay                                              : in    std_logic_vector(31 downto 0);
206
                az                                              : in    std_logic_vector(31 downto 0);
207
                bx                                              : in    std_logic_vector(31 downto 0);
208
                by                                              : in    std_logic_vector(31 downto 0);
209
                bz                                              : in    std_logic_vector(31 downto 0);
210
                vx                                              : out   std_logic_vector(31 downto 0);
211
                vy                                              : out   std_logic_vector(31 downto 0);
212
                vz                                              : out   std_logic_vector(31 downto 0);
213
                sc                                              : out   std_logic_vector(31 downto 0);
214
                ack                                             : in    std_logic;
215
                empty                                   : out   std_logic;
216
                dcs                                             : in    std_logic_vector(2 downto 0);            --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
217 219 jguarin200
                sync_chain_1                    : in    std_logic;              --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
218 229 jguarin200
                pipeline_pending                : out   std_logic               --! Se&ntilde;al para indicar si hay datos en el pipeline aritm&eacute;tico.    
219 219 jguarin200
        );
220
        end component;
221
 
222 230 jguarin200
        --! Nets para la salida de la cola de resultados y entrada del multiplexor del upload state machine.
223 229 jguarin200
        signal svx,svy,svz,ssc          : std_logic_vector(31 downto 0);
224 219 jguarin200
 
225 211 jguarin200
begin
226
 
227 219 jguarin200
        --!Zero agreggate
228 211 jguarin200
        zero    <= (others => '0');
229
 
230
 
231 219 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
232
--! ARITHMETIC PIPELINE AND DATA PATH INSTANTIATION  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  => 
233
--! *************************************************************************************************************************************************************************************************************************************************************
234 211 jguarin200
 
235 219 jguarin200
        --! Arithpipeline and Datapath Control Instance
236
        arithmetic_pipeline_and_datapath_controller : ap_n_dpc
237
        port map (
238
                clk                             => clk,
239
                rst                             => rst,
240 229 jguarin200
                ax                                      => sreg_block(reg_ax),
241
                ay                                      => sreg_block(reg_ay),
242
                az                                      => sreg_block(reg_az),
243
                bx                                      => sreg_block(reg_bx),
244
                by                                      => sreg_block(reg_by),
245
                bz                                      => sreg_block(reg_bz),
246
                vx                                      => svx,
247
                vy                                      => svy,
248
                vz                                      => svz,
249
                sc                                      => ssc,
250
                ack                                     => sr_ack,
251
                empty                           => sr_e,
252
                dcs                                     => sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s),
253 219 jguarin200
                sync_chain_1            => ssync_chain_1,
254 229 jguarin200
                pipeline_pending        => spipeline_pending
255 219 jguarin200
        );
256 211 jguarin200
 
257
 
258
--! ******************************************************************************************************************************************************                                              
259
--! TRANSFER CONTROL RTL CODE
260
--! ******************************************************************************************************************************************************                                              
261
        TRANSFER_CONTROL:
262 229 jguarin200
        process(clk,rst,master_waitrequest,sm,soutb_ae,soutb_usedw,spipeline_pending,soutb_e,zero,soutb_af,sfetch_data_pending,sreg_block,sslave_write,sslave_address,sslave_writedata,ssync_chain_pending,smaster_read,smaster_write,sdata_fetch_counter,sload_add_pending,swrite_pending,sdownload_chain)
263 211 jguarin200
        begin
264 202 jguarin200
 
265 211 jguarin200
                --! Conexi&oacuteln a se&ntilde;ales externas. 
266
                irq <= sreg_block(reg_ctrl)(reg_ctrl_irq);
267
                master_read <= smaster_read;
268
                master_write <= smaster_write;
269 202 jguarin200
 
270 217 jguarin200
                --! Direct Memory Access Selector.
271 150 jguarin200
 
272 217 jguarin200
 
273
 
274 211 jguarin200
                --! ZERO_TRANSIT: Cuando todos los elementos de sincronizaci&oacute;n est&aacute;n en cero menos la cola de sincronizaci&oacute;n de carga de parametros.
275
                sZeroTransit <= not(sload_add_pending or sfetch_data_pending or spipeline_pending or swrite_pending);
276 202 jguarin200
 
277 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION OUT QUEUE: Datos pendientes por cargar a la memoria a trav&eacute;s de la interconexi&oacute;n
278
                swrite_pending <= not(soutb_e);
279 202 jguarin200
 
280
 
281 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION DESCARGA DE DATOS: Hay datos pendientes por descargar desde la memoria a trav&eacute;s de la interconexi&oacute;n.
282 231 jguarin200
                if sdata_fetch_counter=zero(reg_nfetch_high downto 0) then
283 211 jguarin200
                        sfetch_data_pending <= '0';
284
                else
285
                        sfetch_data_pending <= '1';
286
                end if;
287
 
288
                --! ELEMENTO DE SINCRONIZACION CARGA DE DIRECCIONES: Hay direcciones pendientes por cargar a la interconexi&oacute;n?
289 231 jguarin200
                if sreg_block(reg_nfetch)(reg_nfetch_high downto 0)=zero(reg_nfetch_high downto 0) then
290 211 jguarin200
                        sload_add_pending <= '0';
291
                else
292
                        sload_add_pending <= '1';
293
                end if;
294 202 jguarin200
 
295 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION CARGA DE OPERANDOS: Se est&aacute;n cargando los operandos que ser&aacute;n operados en el pipeline aritm&eacute;tico.
296 219 jguarin200
                if sdownload_chain /= DWAX and sdownload_chain /= DWAXBX then
297 211 jguarin200
                        sparamload_pending <= '1';
298
                else
299
                        sparamload_pending <= '0';
300
                end if;
301
 
302
                --! Se debe iniciar una transacci&oacute;n de descarga de datos desde la memoria externa?
303
                if soutb_af='0' and sload_add_pending='1' then
304
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados continuar&aacute; si no est&aacute; tan llena y adem&aacute;s hay pendientes datos por ser descargados.
305
                        sflood_condition <= '1';
306
                else
307
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados debe parar porque est&aacute; cas&iacute; llena.       
308
                        sflood_condition <= '0';
309
                end if;
310 231 jguarin200
                if sreg_block(reg_nfetch)(reg_nfetch_high downto 0+mb)/=zero(reg_nfetch_high downto 0+mb) then
311 211 jguarin200
                        --! Flow Control: Si el n&uacute;mero de descargas pendientes es mayor o igual al max burst length, entonces cargar max burst en el contador.
312
                        sflood_burstcount <= '1'&zero(mb-1 downto 0);
313
                else
314
                        --! Flow Control: Si le n&uacute;mero de descargas pendientes es inferior a Max Burst Count entonces cargar los bits menos significativos del registro de descargas pendientes.
315 231 jguarin200
                        sflood_burstcount <= '0'&sreg_block(reg_ctrl)(0+mb-1 downto 0);
316 211 jguarin200
                end if;
317 202 jguarin200
 
318 211 jguarin200
                --! Se debe iniciar una transacci&oacute;n de carga de datos hacia la memoria externa?
319
                if soutb_ae='1' then
320
                        --! Flow Control : Cuando se est&eacute; drenando la cola de resultados, si la cola est&aacute; cas&iacute; vac&iaute;a, la longitud del burst ser&aacute;n los bits menos significativos del contador de la cola.  
321
                        sdrain_burstcount <= soutb_usedw(mb downto 0);
322
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola bajo y no hay datos transitando por el pipeline, ni datos pendientes por cargar desde la memoria.   
323
                        sdrain_condition <= not(sload_add_pending) and not(sfetch_data_pending) and not(spipeline_pending) and swrite_pending;
324
                else
325
                        --! Flow Control: Cuando se est&eacute; drenando la cola de resultados, si la cola de tiene una cantidad de datos mayor al burst count entonces se har&aacute; una transacci&oacute;n de longitud equivalente al burst count.
326
                        sdrain_burstcount <= '1'&zero(mb-1 downto 0);
327
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola es mayor o igual a 2**mb O si hay muy pocos datos y no hay datos transitando por el pipeline.   
328
                        sdrain_condition <= '1';
329
                end if;
330 202 jguarin200
 
331 211 jguarin200
                --! Restart param load chain
332
                srestart_chain <= sreg_block(reg_ctrl)(reg_ctrl_irq) and sreg_block(reg_ctrl)(reg_ctrl_rlsc);
333 202 jguarin200
 
334 217 jguarin200
                --! Data dumpster: Descaratar dato de upload una vez la interconexi&oacute;n haya enganchado el dato.
335 211 jguarin200
                if sm=SINK and master_waitrequest='0' and smaster_write='1' then
336
                        soutb_ack <= '1';
337
                else
338
                        soutb_ack <= '0';
339
                end if;
340 202 jguarin200
 
341 217 jguarin200
 
342
 
343 211 jguarin200
                --! Flow Control State Machine.
344
                if rst=rstMasterValue then
345
 
346
                        --! State Machine 
347
                        sm <= IDLE;
348
 
349
 
350
                        --! Master Write & Read Common Signals Reset Value
351
                        master_burstcount       <= (others => '0');
352
                        master_address          <= (others => '0');
353
                        sdata_fetch_counter     <= (others => '0');
354
                        sburstcount_sink        <= (others => '0');
355 150 jguarin200
 
356 211 jguarin200
                        --! Master Read Only Signals Reset Value
357
                        smaster_read            <= '0';
358
 
359
                        --! Master Write Only Signals
360
                        smaster_write           <= '0';
361
 
362
                        --! Reg Ctrl & Fetch address and writeaddress
363
                        --! Sinking address
364
                        sreg_block(reg_sinkstart) <= (others => '0');
365
                        --! Sourcing address
366
                        sreg_block(reg_fetchstart) <= (others => '0');
367
                        --! Control and Status Register
368
                        sreg_block(reg_ctrl) <= (others => '0');
369
                        --! Contador Overall
370
                        sreg_block(reg_inputcounter) <= (others => '0');
371
                        sreg_block(reg_outputcounter) <= (others => '0');
372 231 jguarin200
                        --! Address Fetch Counter 
373
                        sreg_block(reg_nfetch) <= (others => '0');
374 211 jguarin200
 
375
 
376
                elsif clk'event and clk='1' then
377 150 jguarin200
 
378 211 jguarin200
                        --! Nevermind the State, discount the incoming valid data counter.
379
                        sdata_fetch_counter <= sdata_fetch_counter-master_readdatavalid;
380
 
381
                        --! Debug Counter.
382
                        sreg_block(reg_inputcounter) <= sreg_block(reg_inputcounter) + master_readdatavalid;
383
                        sreg_block(reg_outputcounter) <= sreg_block(reg_outputcounter) + soutb_ack;
384 152 jguarin200
 
385 211 jguarin200
                        --! Flags
386
 
387
 
388
                        case sm is
389
                                when SOURCE =>
390
                                        --! ******************************************************************************************************************************************************                                              
391
                                        --! Flooding the pipeline ........
392
                                        --! ******************************************************************************************************************************************************                                              
393
                                        if smaster_read='0' then
394
                                                if sflood_condition = '1' then
395
                                                        --! Flow Control: Hay suficiente espacio en el buffer de salida y hay descargas pendientes por hacer
396
                                                        smaster_read <= '1';
397
                                                        master_address <= sreg_block(reg_fetchstart);
398
                                                        master_burstcount <= sflood_burstcount;
399
                                                        sdata_fetch_counter <= sdata_fetch_counter+sflood_burstcount-master_readdatavalid;
400
                                                        --! Context Saving:
401
                                                        sreg_block(reg_fetchstart) <= sreg_block(reg_fetchstart) + (sflood_burstcount&"00");
402 231 jguarin200
                                                        sreg_block(reg_nfetch)(reg_nfetch_high downto 0) <= sreg_block(reg_nfetch)(reg_nfetch_high downto 0) - sflood_burstcount;
403 211 jguarin200
                                                else
404
                                                        --! Flow Control : Cambiar al estado SINK, porque o est&aacute; muy llena la cola de salida o no hay descargas pendientes por realizar.
405
                                                        sm <= SINK;
406
                                                end if;
407
                                        else --master_read=1;
408
                                                if master_waitrequest='0' then
409
                                                        --! Las direcciones de lectura est&aacute;n cargadas. Terminar la transferencia.
410
                                                        smaster_read <= '0';
411
                                                end if;
412
                                        end if;
413
                                when SINK =>
414
 
415
                                        --! ******************************************************************************************************************************************************                                              
416
                                        --! Draining the pipeline ........
417
                                        --! ******************************************************************************************************************************************************                                              
418
                                        if smaster_write='0' then
419
 
420
                                                if sdrain_condition='1' then
421
                                                        --! Flow Control : Hay muchos datos aun en la cola de resultados &Oacute; la cola de resultados est&aacute; cas&iacute; vac&iacute;a y no hay datos transitando en el pipeline aritm&eetico.
422
                                                        smaster_write <= '1';
423
                                                        master_address <= sreg_block(reg_sinkstart);
424
                                                        master_burstcount <= sdrain_burstcount;
425 150 jguarin200
 
426 211 jguarin200
                                                        --!Context Saving
427
                                                        sreg_block(reg_sinkstart) <= sreg_block(reg_sinkstart) + (sdrain_burstcount&"00");
428
                                                        sburstcount_sink <= sdrain_burstcount-1;
429
                                                else
430
                                                        --! Flow Control: Son muy pocos los datos que hay en el buffer de salida y existen aun datos transitando en el resto del pipe ir al estado SOURCE.
431
                                                        if sZeroTransit='1' then
432
 
433
                                                                --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
434
                                                                sm <= IDLE;
435
                                                                sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
436
                                                                sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
437 217 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
438 211 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
439 229 jguarin200
 
440 211 jguarin200
                                                        else
441
 
442
                                                                --! Flow Control: Cambiar a Source porque aun hay elementos transitando.
443
                                                                sm <= SOURCE;
444
                                                        end if;
445
 
446
                                                end if;
447
                                        else --!smaster_write=1 
448
                                                if master_waitrequest = '0' then
449
 
450
                                                        --! Descartar datos : revisar antes de este proceso secuencial la parte combinatoria (Data Dumpster).
451
 
452
 
453
                                                        if sburstcount_sink/=zero(mb downto 0) then
454
 
455
                                                                --! Datos pendientes por transmitir aun en el burst. Restar uno 
456
                                                                sburstcount_sink <= sburstcount_sink-1;
457
                                                        else
458
 
459
                                                                --! No escribir mas. Finalizar la transmisi&oacute;n
460
                                                                smaster_write <= '0';
461
 
462
                                                                --! Si no hay transito de dato se con terminada la instrucci&oacute;n siempre que el estado de control de flujo est&eacute; sidera  
463
                                                                if sZeroTransit='1' then
464
 
465
                                                                        --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
466
                                                                        sm <= IDLE;
467
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
468
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
469 217 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
470 211 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
471
 
472
                                                                end if;
473
                                                        end if;
474
                                                end if;
475
                                        end if;
476
 
477
                                when IDLE =>
478
                                        --! ******************************************************************************************************************************************************                                              
479
                                        --! Programming the pipeline
480
                                        --! ******************************************************************************************************************************************************                                              
481
                                        --! El registro de control en sus campos fetch e irq, es escribile solo cuando estamos en estado IDLE.           
482
                                        if sslave_write='1' then
483
                                                case sslave_address is
484
                                                        when x"0" =>
485
                                                                --! Solo se permitira escribir en el registro de control si no hay una interrupci&oacute;n activa o si la hay solamente si se esta intentando desactivar la interrupci&acute;n 
486
                                                                if sreg_block(reg_ctrl)(reg_ctrl_irq)='0' or sslave_writedata(reg_ctrl_irq)='0' then
487 231 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq downto 0) <= sslave_writedata(reg_ctrl_irq downto 0);
488 211 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_wp-1 downto reg_ctrl_cmb) <= sslave_writedata(reg_ctrl_flags_wp-1 downto reg_ctrl_cmb);
489 229 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rlsc) <= sslave_writedata(reg_ctrl_rlsc);
490 231 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_ageb downto reg_ctrl_alb) <=sslave_writedata(reg_ctrl_ageb downto reg_ctrl_alb);
491 211 jguarin200
                                                                end if;
492 231 jguarin200
                                                        when x"5" => sreg_block(reg_nfetch) <= sslave_writedata;
493 211 jguarin200
                                                        when x"6" => sreg_block(reg_outputcounter) <= sslave_writedata;
494
                                                        when x"7" => sreg_block(reg_inputcounter) <= sslave_writedata;
495
                                                        when x"8" => sreg_block(reg_fetchstart) <= sslave_writedata;
496
                                                        when x"9" => sreg_block(reg_sinkstart) <= sslave_writedata;
497
                                                        when others => null;
498
                                                end case;
499
                                        else
500
 
501
                                                if sZeroTransit='0' then
502
 
503
 
504
                                                        --! Flow Control: Existe un n&uacute;mero de descargas programadas por el sistema, comenzar a realizarlas.
505
                                                        --! Ir al estado Source.
506
                                                        sm <= SOURCE;
507
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '1';
508 219 jguarin200
 
509
                                                else
510
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
511
 
512 211 jguarin200
                                                end if;
513
                                        end if;
514
                        end case;
515
                end if;
516
        end process;
517
--! ******************************************************************************************************************************************************                                              
518
--! FLOW CONTROL RTL CODE
519
--! ******************************************************************************************************************************************************                                              
520 229 jguarin200
--! buffer de salida
521 211 jguarin200
--! ******************************************************************************************************************************************************                                              
522
        output_buffer:scfifo
523
        generic map (almost_empty_value => 2**mb,almost_full_value => (2**fd)-52, lpm_widthu => fd, lpm_numwords => 2**fd, lpm_showahead => "ON", lpm_width => 32, overflow_checking => "ON", underflow_checking => "ON", use_eab => "ON")
524
        port map        (empty => soutb_e, aclr => '0', clock => clk, rdreq      => soutb_ack, wrreq     => soutb_w,     q => master_writedata, usedw    => soutb_usedw, almost_full => soutb_af, almost_empty => soutb_ae, data => soutb_d);
525
--! ******************************************************************************************************************************************************                                              
526
--! PROCESO DE CONTROL DE FLUJO ENTRE EL BUFFER DE RESULTADOS Y EL BUFFER DE SALIDA
527
--! ******************************************************************************************************************************************************                                              
528
 
529
        FLOW_CONTROL_OUTPUT_STAGE:
530 229 jguarin200
        process (clk,rst,master_readdata, master_readdatavalid,sr_e,sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc),sm,supload_chain,zero,ssync_chain_pending,supload_start)
531 211 jguarin200
        begin
532
 
533
 
534
                --! Compute initial State.
535
 
536
                --! Escribir en el output buffer.
537 217 jguarin200
                if supload_chain=DMA then
538
                        --! Modo DMA escribir los datos de entrada directamente en el buffer.
539
                        soutb_w <= master_readdatavalid;
540
                else
541
                        --!Modo Arithmetic Pipeline 
542 229 jguarin200
                        soutb_w <= not(sr_e);
543 217 jguarin200
                end if;
544 211 jguarin200
 
545
                --! Control de lectura de la cola de resultados.
546 229 jguarin200
                if sr_e='0' then
547 211 jguarin200
                        --!Hay datos en la cola de resultados.
548 219 jguarin200
                        if (supload_chain=UPVZ and sreg_block(reg_ctrl)(reg_ctrl_sc)='0') or supload_chain=SC then
549 211 jguarin200
                                --!Se transfiere el ultimo componente vectorial y no se estan cargando resultados escalares.
550 229 jguarin200
                                sr_ack <= '1';
551 219 jguarin200
                        else
552 229 jguarin200
                                sr_ack <= '0';
553 211 jguarin200
                        end if;
554
                else
555 229 jguarin200
                        sr_ack <= '0';
556 211 jguarin200
                end if;
557
 
558 217 jguarin200
 
559 211 jguarin200
                --! Decodificar que salida de la cola de resultados se conecta a la entrada del otput buffer
560 217 jguarin200
                --! DMA Path Control: Si se encuentra habilitado el modo dma entonces conectar la entrada del buffer de salida a la interconexi&oacute;n
561 211 jguarin200
                case supload_chain is
562 219 jguarin200
                        when UPVX =>
563 229 jguarin200
                                soutb_d <= svx;
564 219 jguarin200
                        when UPVY =>
565 229 jguarin200
                                soutb_d <= svy;
566 219 jguarin200
                        when UPVZ =>
567 229 jguarin200
                                soutb_d <= svz;
568 211 jguarin200
                        when SC =>
569 229 jguarin200
                                soutb_d <= ssc;
570 217 jguarin200
                        when DMA =>
571
                                soutb_d <= master_readdata;
572 211 jguarin200
                end case;
573
 
574
 
575
                case sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc) is
576
                        when "01" =>
577
                                supload_start <= SC;
578
                        when others =>
579 219 jguarin200
                                supload_start <= UPVX;
580 211 jguarin200
                end case;
581
 
582
 
583
                --! M&aacute;quina de estados para el width adaptation RES(128) -> OUTPUTBUFFER(32).    
584
                if rst=rstMasterValue then
585 219 jguarin200
                        supload_chain <= UPVX;
586 217 jguarin200
                elsif clk'event and clk='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
587
                        --! Modo de operaci&oacute;n normal.
588 211 jguarin200
                        case supload_chain is
589 219 jguarin200
                                when UPVX =>
590 229 jguarin200
                                        if sr_e='1' then
591 211 jguarin200
                                                supload_chain <= supload_start;
592
                                        else
593 219 jguarin200
                                                supload_chain <= UPVY;
594 211 jguarin200
                                        end if;
595 219 jguarin200
                                when UPVY =>
596
                                        supload_chain <= UPVZ;
597
                                when UPVZ =>
598 211 jguarin200
                                        if sreg_block(reg_ctrl)(reg_ctrl_sc)='0' then
599 219 jguarin200
                                                supload_chain <= UPVX;
600 211 jguarin200
                                        else
601
                                                supload_chain <= SC;
602
                                        end if;
603 217 jguarin200
                                when SC|DMA =>
604 211 jguarin200
                                        supload_chain <= supload_start;
605 217 jguarin200
 
606 211 jguarin200
                        end case;
607 217 jguarin200
 
608
                elsif clk'event and clk='1' then
609
                        --! Modo DMA
610
                        supload_chain <= DMA;
611 211 jguarin200
                end if;
612
 
613
 
614
        end process;
615
--! ******************************************************************************************************************************************************                                              
616
--! PROCESO DE CONTROL DE FLUJO ENTRE LA ENTRADA DESDE LA INTERCONEXI&OACUTE;N Y LOS PARAMETROS DE ENTRADA EN EL PIPELINE ARITMETICO
617
--! ******************************************************************************************************************************************************                                              
618
        FLOW_CONTROL_INPUT_STAGE:
619 217 jguarin200
        process(clk,rst,master_readdatavalid,master_readdata,sreg_block(reg_ctrl)(reg_ctrl_dma downto reg_ctrl_s),sslave_write,sslave_address,supload_chain)
620 211 jguarin200
        begin
621
                --! Est&aacute; ocurriendo un evento de transici&oacute;n del estado TX al estado FETCH: Programar el enganche de par&aacute;metros que vienen de la interconexi&oacute;n.
622 219 jguarin200
                --! Mirar como es la carga inicial. Si es Normalizacion o Magnitud (dcs=110) entonces cargar DWAXBX de lo contrario solo DWAX.
623 211 jguarin200
                case sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s) is
624 221 jguarin200
                        when "110"      =>      sdownload_start <= DWAXBX;
625
                        when others     =>      sdownload_start <= DWAX;
626 211 jguarin200
                end case;
627
                if rst=rstMasterValue then
628
                        ssync_chain_1 <= '0';
629 219 jguarin200
                        sdownload_chain <= DWAX;
630 211 jguarin200
                        for i in reg_bz downto reg_ax loop
631
                                sreg_block(i) <= (others => '0');
632
                        end loop;
633
                elsif clk'event and clk='1' then
634
                        ssync_chain_1   <= '0';
635 217 jguarin200
                        if master_readdatavalid='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
636 211 jguarin200
                                --! El dato en la interconexi&oacute;n es valido, se debe enganchar. 
637
                                case sdownload_chain is
638 219 jguarin200
                                        when DWAX | DWAXBX  =>
639 211 jguarin200
                                                --! Cargar el operando correspondiente al componente "X" del vector "A" 
640
                                                ssync_chain_1 <= '0';
641
                                                sreg_block(reg_ax) <= master_readdata;
642 219 jguarin200
                                                if sdownload_start = DWAXBX then
643 211 jguarin200
                                                        --! Operaci&oacute;n Unaria por ejemplo magnitud de un vector
644
                                                        --! Escribir en el registro bx adicionalmente. 
645
                                                        sreg_block(reg_bx) <= master_readdata;
646
                                                        --! El siguiente estado es cargar el componente "Y" de del operando a ejecutar. 
647 219 jguarin200
                                                        sdownload_chain <= DWAYBY;
648 211 jguarin200
                                                else
649
                                                        --! Operaci&oacute;n de dos operandos. Por ejemplo Producto Cruz.
650
                                                        --! El siguiente estado es cargar el vector "Y" del operando "A".
651 219 jguarin200
                                                        sdownload_chain <= DWAY;
652 211 jguarin200
                                                end if;
653 219 jguarin200
                                        when DWAY | DWAYBY =>
654 211 jguarin200
                                                sreg_block(reg_ay) <= master_readdata;
655
                                                ssync_chain_1 <= '0';
656 219 jguarin200
                                                if sdownload_chain = DWAYBY then
657 211 jguarin200
                                                        sreg_block(reg_by) <= master_readdata;
658 219 jguarin200
                                                        sdownload_chain <= DWAZBZ;
659 211 jguarin200
                                                else
660 219 jguarin200
                                                        sdownload_chain <= DWAZ;
661 211 jguarin200
                                                end if;
662 219 jguarin200
                                        when DWAZ  | DWAZBZ =>
663 211 jguarin200
                                                sreg_block(reg_az) <= master_readdata;
664 219 jguarin200
                                                if sdownload_chain=DWAZBZ then
665 211 jguarin200
                                                        ssync_chain_1 <= '1';
666
                                                        sreg_block(reg_bz) <= master_readdata;
667 219 jguarin200
                                                        sdownload_chain <= DWAXBX;
668 211 jguarin200
                                                else
669
                                                        ssync_chain_1 <= '0';
670 219 jguarin200
                                                        sdownload_chain <= DWBX;
671 211 jguarin200
                                                end if;
672 219 jguarin200
                                        when DWBX  =>
673 211 jguarin200
                                                ssync_chain_1 <= '0';
674
                                                sreg_block(reg_bx) <= master_readdata;
675 219 jguarin200
                                                sdownload_chain <= DWBY;
676
                                        when DWBY =>
677 211 jguarin200
                                                ssync_chain_1 <= '0';
678
                                                sreg_block(reg_by) <= master_readdata;
679 219 jguarin200
                                                sdownload_chain <= DWBZ;
680
                                        when DWBZ =>
681 211 jguarin200
                                                sreg_block(reg_bz) <= master_readdata;
682
                                                ssync_chain_1 <= '1';
683
                                                if sreg_block(reg_ctrl)(reg_ctrl_cmb)='1' then
684 219 jguarin200
                                                        sdownload_chain <= DWBX;
685 211 jguarin200
                                                else
686 219 jguarin200
                                                        sdownload_chain <= DWAX;
687 211 jguarin200
                                                end if;
688
                                        when others =>
689
                                                null;
690
                                end case;
691
 
692
                                if srestart_chain='1' then
693
                                        sdownload_chain <= sdownload_start;
694
                                end if;
695
 
696
                        end if;
697
                end if;
698
        end process;
699
--! *************************************************************************************************************************************************************************************************************************************************************
700
--! AVALON MEMORY MAPPED MASTER FINISHED
701
--! *************************************************************************************************************************************************************************************************************************************************************
702
--! *************************************************************************************************************************************************************************************************************************************************************
703
--! AVALON MEMORY MAPPED SLAVE BEGINS =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>
704
--! *************************************************************************************************************************************************************************************************************************************************************
705
        --! Master Slave Process: Proceso para la escritura y lectura de registros desde el NIOS II.
706
        low_register_bank:
707 229 jguarin200
        process (clk,rst,sreg_block,soutb_w,supload_chain)
708 211 jguarin200
        begin
709
                if rst=rstMasterValue then
710 231 jguarin200
                        for i in reg_scalar downto reg_vz loop
711 211 jguarin200
                                sreg_block(i) <= (others => '0');
712
                        end loop;
713
 
714
                        slave_readdata <= (others => '0');
715
                        sslave_address <= (others => '0');
716
                        sslave_writedata <= (others => '0');
717
                        sslave_write <= '0';
718
                        sslave_read <= '0';
719
                elsif clk'event and clk='1' then
720
 
721
 
722
                        sslave_address          <= slave_address;
723
                        sslave_write            <= slave_write;
724
                        sslave_read                     <= slave_read;
725
                        sslave_writedata        <= slave_writedata;
726 229 jguarin200
 
727
 
728 231 jguarin200
                        for i in reg_scalar downto reg_vz loop
729 211 jguarin200
                                if sslave_address=i then
730
                                        if sslave_write='1' then
731
                                                sreg_block(i) <= sslave_writedata;
732
                                        end if;
733
                                end if;
734
                        end loop;
735
                        for i in 15 downto 0 loop
736
                                if sslave_address=i then
737
                                        if sslave_read='1' then
738
                                                slave_readdata <= sreg_block(i);
739
                                        end if;
740
                                end if;
741
                        end loop;
742
                end if;
743
        end process;
744
--! *************************************************************************************************************************************************************************************************************************************************************
745
--! AVALON MEMORY MAPPED SLAVE FINISHED
746
--! *************************************************************************************************************************************************************************************************************************************************************
747
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
748 217 jguarin200
        --! Control Register (reg_ctrl) BASE_ADDRESS + 0x0                                                                                                                                                                                              |
749 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
750
        --! Bit No.     | Nombre        | Descripci&oacute;n                                                                                                                                                                                            |
751
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
752 217 jguarin200
        --! 0           | cmb (rw)      | 1:    La operaci&oacute;n es combinatoria, por lo tanto cargan los primeros 3 valores en el Operando A y el           |
753
        --!                     |                       |               de vectores en el operando B.                                                                                                                                                           |
754 211 jguarin200
        --!                     |                       | 0:    La operaci&oacute;n no es combinatoria, se cargan vectores en los operandos A y B.                                                      |
755
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
756
        --!                     |                       |               Configuraci&oacute;n del Datapath, Interconexi&oacute;n del Pipeline Aritm&eacute;tico y Cadena de Carga        |
757
        --!                     |                       |               Dependiendo del valor de estos 3 bits se configura la operaci&oacute;n a ejecutar.                                                      |
758
        --!                     |                       |                                                                                                                                                                                                                                       |
759
        --! [3:1]       | dcs (rw)      | 011:  Producto Cruz                                                                                                                                                                                           |
760
        --!                     |                       | 000:  Suma Vectorial                                                                                                                                                                                          |
761
        --!                     |                       | 001:  Resta Vectorial                                                                                                                                                                                         |
762
        --!                     |                       | 110:  Normalizaci&oacute;n Vectorial y c&aacute;lculo de Magnitud Vectorial                                                                           |
763
        --!                     |                       | 100:  Producto Punto                                                                                                                                                                                          |
764
        --!                     |                       | 111:  Producto Simple                                                                                                                                                                                         |
765
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
766
        --! [5:4]       | vtsc (rw)     | 00:   Solo leer los resultados vectoriales.                                                                                                                                           |
767
        --!                     |                       | 01:   Solo leer los resultados escalares.                                                                                                                                                     |
768
        --!                     |                       | 10:   Solo leer los resultados vectoriales.                                                                                                                                           |
769
        --!                     |                       | 11:   Leer los resultados escalares y vectoriales.                                                                                                                            |
770
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
771 217 jguarin200
        --! 6           | dma (rw)      |  1:   Modo DMA: Los datos que ingresan se leen desde la direcci&oacute;n FETCHSTART (BASE+0x08) y se escriben en  |
772
        --!                     |                       |               la direcci&oacute;n SINKSTART (BASE+0x09).                                                                                                                                      |
773
        --!                     |                       |  0:   Modo Arithmetic Pipeline: Los datos ingresan en grupos de a 6 valores para 2 vectores de 3 valores cada uno,|
774
        --!                     |                       |               cuando se usa en modo uno a uno (cmb=1), &oacute; en grupos de 3 valores para 1 vector de 3 valores,            |
775
        --!                     |                       |               pero con el operando A fijado con el valor de la primera carga de valores en modo combinatorio (cmb=1).         |
776
        --!                     |                       |               De la misma manera que en modo DMA se cargan los operandos en la direcci&oacute;n FETCHSTART y se escriben      |
777
        --!                     |                       |               los resultados en la direcci&oacute;n SINKSTART.                                                                                                                        |
778
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
779
        --! 7           | flag_fc(r)|  1:       Al momento de generar una interrupci&oacute;n este bit se coloca en 1 si se cumplen las condiciones de          |
780
        --!                     |                       |               descarga de datos de la memoria (revisar el net signal sflood_condition). Si se encuentra en uno se                     |
781
        --!                     |                       |               tratar&iacute;a de una inconsistencia puesto que la interrupci&oacute;n se dispara una vez se ha terminado      |
782
        --!             |                       |               de ejecutar una instrucci&oacute;n y el que la bandera este en uno significa que hay transacciones de           |       
783
        --!                     |                       |               descarga de datos desde la memoria pendientes.                                                                                                                          |
784
        --!                     |                       |                                                                                                                                                                                                                                       |
785
        --!                     |                       |               En general que cualquiera de estas banderas se coloque en uno es una se&ntilde;alizacion de error, puesto       |
786
        --!                     |                       |               que una vez se ha terminado de ejecutar una instrucci&oacute;n no deben haber transacciones pendientes.         |
787
        --!                     |                       |               La raz&oacute;n de ser de estas banderas es hacer depuraci&oacute;n del hardware mas que del software.          |
788
        --!                     |                       |                                                                                                                                                                                                                                       |
789
        --!                     |                       |  0:   Flood Condition off.                                                                                                                                                                            |
790
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
791
        --! 8           | flag_dc(r)|  1:       Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |
792
        --!                     |                       |  0:   Drain Condition off.                                                                                                                                                                            |
793
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
794
        --! 9           | wp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |                                                                                                                                                                                       
795
        --!                     |                       |  0:   Write on Memory not pending.                                                                                                                                                            |
796
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
797
        --! 10          | pp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute;n y hay datos transitando el pipeline aritm&eacute;tico.       |
798
        --!                     |                       |  0:   Pipeline not pending.                                                                                                                                                                           |
799
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
800
        --! 11          | pl(r)         |  1:   La carga de parametros no se complet&oacute;. Esto por lo general pasa cuando uno va a realizar una                     |
801
        --!             |                       |               operaci&acute;n combinatoria y solo cargo el primer operando, el A, esto puede ocurrir porque por ejemplo       |
802
        --!                     |                       |               se puede desear sumar un conjunto de vectores a un vector de referencia. Este vector de referencia puede        |
803
        --!                     |                       |               estar en un area de memoria distinta, que el resto de los vectores. Por lo tanto el pseudo codigo para          |
804
        --!                     |                       |               ejecutar una operaci&oacute;n de este tipo seria:                                                                                                                       |
805
        --!                     |                       |                                                                                                                                                                                                                                       |       
806
        --!                     |                       |               ld vect,add,cmb;        //Resultados solo vectoriales, ejecutar operaci&oacute;n suma en modo combinatorio              |
807
        --!                     |                       |               ld &A;                          //Cargar la direccion del Vector A.                                                                                                             |
808
        --!                     |                       |               ld 3;                           //Cargar 3 valores, o sea el Vector A.                                                                                                  | 
809
        --!                     |                       |               wait int;                       //Esperar a que se ejecute la interrupcion. Una vez se termine de ejecutar si la bandera|
810
        --!                     |                       |                                                       //pl est&aacute; en uno se vuelve a comenzar y se deshecha el dato que hay como                 |
811
        --!                     |                       |                                                       //par&aacute;metro.     Para este ejemplo se asume que est&aacute en uno                                        |
812
        --!                     |                       |               ld &B;                          //Cargar la direcci&oacute;n donde se encuentran los vectores B                                                 |
813
        --!                     |                       |               ld &C;                          //Cargar la direcci&oacute;n donde se exribiran los resultados.                                                 |
814
        --!                     |                       |               ld 24;                          //Cargar los siguientes 24 valores a partir de &B correspondiente a 8 vectores                  |
815
        --!                     |                       |                                                       //ejecutando 8 sumas vectoriales que se escribir&iacute;n a apartir de &C                               |
816
        --!                     |                       |               wait int;                       //Esperar a que termine la ejecuci&oacute;n de las sumas.                                                               |
817
        --!                     |                       |                                                                                                                                                                                                                                       |
818
        --!                     |                       |  0:   Los operandos se cargaron integros se cargo del todo y no hubo que desechar parametros.                                         |
819
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
820
        --! 12          | dp (r)        |  1:   Error, la instrucci&oacute;n se termino y aun hay datos pendientes por ser descargados                                          |
821
        --!                     |                       |  0:   No hay datos pendientes por ser descargados.                                                                                                                            |
822
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
823
        --! 13          | ap (r)        |  1:   Carga de direcciones en la interconexi&oacute;n a&uacute;n est&aacute; pendiente y la instrucci&oacute; ya      |
824
        --!                     |                       |               se ejecut&oacute;                                                                                                                                                                                       |
825
        --!                     |                       |  0:   No hay direcciones pendientes por cargar.                                                                                                                                       |
826
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
827 211 jguarin200
        --! 14          | rlsc (rw)     | 1:    El sistema est&aacute; configurado para resetear la recarga sincronizada de par&aacute;metros una vez           |
828
        --!                     |                       |               concluya la instrucci&oacute;n                                                                                                                                                          |
829
        --!                     |                       |                                                                                                                                                                                                                                       |
830 217 jguarin200
        --!                     |                       | 0:    El sistema est&aacute; configurado para no resetear la cadena de sincronizaci&oacute;n de carga.                        |
831 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
832 217 jguarin200
        --! 15          | rom (r)       | 1: Los registros solo se pueden leer no se pueden escribir. Etsado SINK y SOURCE                                                                      |
833 211 jguarin200
        --!                     |                       | 0: Los registros se pueden leer y escribir.                                                                                                                                           |
834
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
835
        --! [30:16]     | nfetch(rw)| Cantidad de direcciones a cargar en la interconex&oacute;n para realizar la posterior descarga de datos de la     |
836
        --!                     |                       | memoria al RayTrac.
837
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
838
        --!     31              | irq           | 1:    Evento de interrupci&oacute;n. El usuario debe hacer clear de este bit para dar la interrupci&o;n por           |
839
        --!                     |                       |               por atendida. Este bit se pone en uno cuando el sistema pasa de estado TX a FETCH o FETCH a TX.                         |
840
        --!                     |                       |                                                                                                                                                                                                                                       |
841
        --!                     |                       | 0:    El RayTrac se encuentra en operaci&oacute;n Normal.                                                                                                                     |
842
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
843 217 jguarin200
        --! Result Vector Z component (reg_vz)  BASE_ADDRESS + 0x4                                                                                                                                                                      |
844 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
845 217 jguarin200
        --! Result Vector Y component (reg_vy) BASE_ADDRESS + 0x8                                                                                                                                                                       |
846 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
847 217 jguarin200
        --! Result Vector X component (reg_vx) BASE_ADDRESS + 0xC                                                                                                                                                                       |
848 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
849 217 jguarin200
        --! Result Vector Scalar component (reg_scalar) BASE_ADDRESS + 0x10                                                                                                                                                     |
850 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
851 231 jguarin200
        --! Scratch Vector 00   (reg_nfetch) BASE_ADDRESS +     0x14                                                                                                                                                            |
852 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
853 217 jguarin200
        --! output Data Counter (reg_outputcounter) BASE_ADDRESS + 0x18                                                                                                                                                         |
854 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
855 217 jguarin200
        --! Input Data Counter  (reg_inputcounter) BASE_ADDRESS + 0x1C                                                                                                                                                          |
856
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
857
        --! Data Fetch Start Address (reg_fetchstart) BASE_ADDRESS + 0x20                                                                                                                                                       |
858
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
859
        --! Data Write Start Address (reg_sinkstart) BASE_ADDRESS + 0x24                                                                                                                                                        |
860
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
861 219 jguarin200
        --! Parameter AX component (reg_ax) BASE_ADDRESS + 0x28                                                                                                                                                                         |
862 217 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
863
        --! Parameter Ay component (reg_ay) BASE_ADDRESS + 0x2C                                                                                                                                                                         |
864
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
865
        --! Parameter Az component (reg_az) BASE_ADDRESS + 0x30                                                                                                                                                                         |
866
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
867
        --! Parameter Bx component (reg_bx) BASE_ADDRESS + 0x34                                                                                                                                                                         |
868
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
869
        --! Parameter By component (reg_by) BASE_ADDRESS + 0x38                                                                                                                                                                         |
870
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
871
        --! Parameter Bz component (reg_bz) BASE_ADDRESS + 0x3C                                                                                                                                                                         |
872
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|   
873
 
874
 
875
 
876
 
877
 
878
 
879
end architecture;
880
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.