OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Blame information for rev 237

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 219 jguarin200
--! @file raytrac.vhd
2
--! @brief Sistema de Procesamiento Vectorial. La interface es compatible con el bus Avalon de Altera.  
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- raytrac.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23 150 jguarin200
library ieee;
24
use ieee.std_logic_1164.all;
25 211 jguarin200
use ieee.std_logic_unsigned.all;
26 219 jguarin200
use work.arithpack.all;
27 150 jguarin200
 
28 211 jguarin200
library altera_mf;
29
use altera_mf.altera_mf_components.all;
30
 
31
library lpm;
32
use lpm.lpm_components.all;
33
 
34
 
35 217 jguarin200
entity raytrac is
36 211 jguarin200
        generic (
37
                wd      :       integer := 32;
38
                fd      :       integer := 8;   --! Result Fifo Depth = 2**fd =256
39 219 jguarin200
                mb      :       integer := 4    --! Max Burst Length = 2**mb            
40 211 jguarin200
        );
41 150 jguarin200
        port (
42 211 jguarin200
                clk:    in std_logic;
43
                rst:    in std_logic;
44 150 jguarin200
 
45 211 jguarin200
                --! Avalon MM Slave
46 234 jguarin200
                slave_address                           :       in      std_logic_vector(3 downto 0);
47 211 jguarin200
                slave_read                              :       in      std_logic;
48
                slave_write                             :       in      std_logic;
49
                slave_readdata                  :       out std_logic_vector(31 downto 0);
50
                slave_writedata                 :       in      std_logic_vector(31 downto 0);
51
 
52
                --! Avalon MM Master (Read & Write common signals)      
53
                master_address                  :       out std_logic_vector(31 downto 0);
54 234 jguarin200
                master_burstcount                       :       out std_logic_vector(4 downto 0);
55
                master_waitrequest                      :       in      std_logic;
56 150 jguarin200
 
57 211 jguarin200
                --! Avalon MM Master (Read Stage)
58
                master_read                             :       out     std_logic;
59
                master_readdata                 :       in      std_logic_vector(31 downto 0);
60 234 jguarin200
                master_readdatavalid            :       in      std_logic;
61 202 jguarin200
 
62 211 jguarin200
                --! Avalon MM Master (Write Stage)
63
                master_write                    :       out     std_logic;
64
                master_writedata                :       out std_logic_vector(31 downto 0);
65 150 jguarin200
 
66 211 jguarin200
                --! Avalon IRQ
67
                irq                                             :       out std_logic
68 150 jguarin200
 
69 211 jguarin200
 
70
 
71 150 jguarin200
        );
72
end entity;
73
 
74
 
75 217 jguarin200
architecture raytrac_arch of raytrac is
76 211 jguarin200
 
77 229 jguarin200
 
78 211 jguarin200
        --! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html .... 
79 230 jguarin200
        attribute altera_attribute : string;
80
        attribute altera_attribute of raytrac_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
81 161 jguarin200
 
82 211 jguarin200
 
83 219 jguarin200
        type    registerblock   is array (15 downto 0) of xfloat32;
84 211 jguarin200
        type    transferState   is (IDLE,SINK,SOURCE);
85 231 jguarin200
        type upload_chain       is (UPVX,UPVY,UPVZ,SC,DMA);
86 219 jguarin200
        type    download_chain  is (DWAX,DWAY,DWAZ,DWBX,DWBY,DWBZ,DWAXBX,DWAYBY,DWAZBZ);
87 202 jguarin200
 
88 211 jguarin200
        constant reg_ctrl                               :       integer:=00;
89 231 jguarin200
        constant reg_vz                         :       integer:=01;
90
        constant reg_vy                         :       integer:=02;
91
        constant reg_vx                         :       integer:=03;
92
        constant reg_scalar                     :       integer:=04;
93
        constant reg_nfetch                     :       integer:=05;
94 211 jguarin200
        constant reg_outputcounter              :       integer:=06;
95
        constant reg_inputcounter               :       integer:=07;
96
        constant reg_fetchstart                 :       integer:=08;
97
        constant reg_sinkstart                  :       integer:=09;
98 231 jguarin200
        constant reg_ax                         :       integer:=10;
99
        constant reg_ay                         :       integer:=11;
100
        constant reg_az                         :       integer:=12;
101
        constant reg_bx                         :       integer:=13;
102
        constant reg_by                         :       integer:=14;
103
        constant reg_bz                         :       integer:=15;
104 211 jguarin200
 
105
 
106 172 jguarin200
 
107 211 jguarin200
        constant reg_ctrl_cmb                   :       integer:=00;    --! CMB bit : Combinatorial Instruction.
108 231 jguarin200
        constant reg_ctrl_s                     :       integer:=01;    --! S bit of the DCS field.
109
        constant reg_ctrl_c                     :       integer:=02;    --! C bit of the DCS field.
110
        constant reg_ctrl_d                     :       integer:=03;    --! D bit of the DCS field.
111 202 jguarin200
 
112 211 jguarin200
        constant reg_ctrl_sc                    :       integer:=04;    --! SC bit of the VTSC field.
113
        constant reg_ctrl_vt                    :       integer:=05;    --! VT bit of the VTSC field.
114 217 jguarin200
        constant reg_ctrl_dma                   :       integer:=06;    --! DMA bit.
115 211 jguarin200
        constant reg_ctrl_flags_fc              :       integer:=07;    --! Flood Condition Flag.
116 202 jguarin200
 
117 211 jguarin200
        constant reg_ctrl_flags_dc              :       integer:=08;    --! Drain Condition Flag.       
118
        constant reg_ctrl_flags_wp              :       integer:=09;    --! Write on Memory Pending Flag.
119
        constant reg_ctrl_flags_pp              :       integer:=10;    --! Pipeline Pending Flag.
120
        constant reg_ctrl_flags_pl              :       integer:=11;    --! Load Parameter Pending Flag.
121 202 jguarin200
 
122 211 jguarin200
        constant reg_ctrl_flags_dp              :       integer:=12;    --! Data Pending flag.
123
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
124
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
125
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
126 202 jguarin200
 
127 229 jguarin200
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
128
        constant reg_ctrl_aeb                   :       integer:=17;    --! A==B.
129
        constant reg_ctrl_ageb                  :       integer:=18;    --! A>=B.
130 211 jguarin200
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
131 231 jguarin200
 
132
        --! Nfetch Reg Mask
133
        constant reg_nfetch_high        :       integer:=11;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
134 211 jguarin200
 
135
 
136
        --! Avalon MM Slave
137 229 jguarin200
 
138 211 jguarin200
        signal  sreg_block                      :       registerblock;
139
        signal  sslave_read                     :       std_logic;
140
        signal  sslave_write            :       std_logic;
141 219 jguarin200
        signal  sslave_writedata        :       std_logic_vector (wd-1 downto 0);
142
        signal  sslave_address          :       std_logic_vector (3 downto 0);
143 211 jguarin200
        signal  sslave_waitrequest      :       std_logic;
144 217 jguarin200
 
145 211 jguarin200
        --! Avalon MM Master
146
        signal  smaster_write           :       std_logic;
147
        signal  smaster_read            :       std_logic;
148 202 jguarin200
 
149 211 jguarin200
        --! State Machine and event signaling
150
        signal sm                                       :       transferState;
151
 
152 230 jguarin200
        signal sr_e                                     :       std_logic;
153 229 jguarin200
        signal sr_ack                           :       std_logic;
154 211 jguarin200
        signal soutb_ack                        :       std_logic;
155
 
156
 
157 229 jguarin200
 
158 211 jguarin200
        signal soutb_d                          :       std_logic_vector(wd-1 downto 0);
159
 
160
 
161
        signal soutb_w                          :       std_logic;
162
 
163
        signal soutb_e                          :       std_logic;
164
        signal soutb_ae                         :       std_logic;
165
        signal soutb_af                         :       std_logic;
166
        signal soutb_usedw                      :       std_logic_vector(fd-1 downto 0);
167
 
168
        signal ssync_chain_1            :       std_logic;
169 229 jguarin200
 
170 211 jguarin200
        signal ssync_chain_pending      :       std_logic;
171
        signal sfetch_data_pending      :       std_logic;
172
        signal sload_add_pending        :       std_logic;
173
        signal spipeline_pending        :       std_logic;
174
        signal swrite_pending           :   std_logic;
175
        signal sparamload_pending       :       std_logic;
176
        signal sZeroTransit                     :       std_logic;
177
 
178
 
179
        --!Unload Control
180
        signal supload_chain    : upload_chain;
181
        signal supload_start    : upload_chain;
182 202 jguarin200
 
183 211 jguarin200
        --!Se&ntilde;ales de apoyo:
184
        signal zero : std_logic_vector(31 downto 0);
185
 
186
        --!High Register Bank Control Signals or AKA Load Sync Chain Control
187
        signal sdownload_chain  : download_chain;
188
        signal sdownload_start  : download_chain;
189
        signal srestart_chain   : std_logic;
190
        --!State Machine Hysteresis Control Signals
191
        signal sdrain_condition         : std_logic;
192
        signal sdrain_burstcount        : std_logic_vector(mb downto 0);
193 231 jguarin200
        signal sdata_fetch_counter      : std_logic_vector(reg_nfetch_high downto 0);
194 211 jguarin200
        signal sburstcount_sink         : std_logic_vector(mb downto 0);
195
 
196
        signal sflood_condition         : std_logic;
197
        signal sflood_burstcount        : std_logic_vector(mb downto 0);
198 177 jguarin200
 
199 219 jguarin200
        --! Arithmetic Pipeline and Data Path Control
200
        component ap_n_dpc
201
        port (
202
                clk                                             : in    std_logic;
203
                rst                                             : in    std_logic;
204 229 jguarin200
                ax                                              : in    std_logic_vector(31 downto 0);
205
                ay                                              : in    std_logic_vector(31 downto 0);
206
                az                                              : in    std_logic_vector(31 downto 0);
207
                bx                                              : in    std_logic_vector(31 downto 0);
208
                by                                              : in    std_logic_vector(31 downto 0);
209
                bz                                              : in    std_logic_vector(31 downto 0);
210
                vx                                              : out   std_logic_vector(31 downto 0);
211
                vy                                              : out   std_logic_vector(31 downto 0);
212
                vz                                              : out   std_logic_vector(31 downto 0);
213
                sc                                              : out   std_logic_vector(31 downto 0);
214
                ack                                             : in    std_logic;
215
                empty                                   : out   std_logic;
216
                dcs                                             : in    std_logic_vector(2 downto 0);            --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
217 219 jguarin200
                sync_chain_1                    : in    std_logic;              --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
218 229 jguarin200
                pipeline_pending                : out   std_logic               --! Se&ntilde;al para indicar si hay datos en el pipeline aritm&eacute;tico.    
219 219 jguarin200
        );
220
        end component;
221
 
222 230 jguarin200
        --! Nets para la salida de la cola de resultados y entrada del multiplexor del upload state machine.
223 229 jguarin200
        signal svx,svy,svz,ssc          : std_logic_vector(31 downto 0);
224 219 jguarin200
 
225 211 jguarin200
begin
226
 
227 219 jguarin200
        --!Zero agreggate
228 211 jguarin200
        zero    <= (others => '0');
229
 
230
 
231 219 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
232
--! ARITHMETIC PIPELINE AND DATA PATH INSTANTIATION  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  => 
233
--! *************************************************************************************************************************************************************************************************************************************************************
234 211 jguarin200
 
235 219 jguarin200
        --! Arithpipeline and Datapath Control Instance
236
        arithmetic_pipeline_and_datapath_controller : ap_n_dpc
237
        port map (
238
                clk                             => clk,
239
                rst                             => rst,
240 229 jguarin200
                ax                                      => sreg_block(reg_ax),
241
                ay                                      => sreg_block(reg_ay),
242
                az                                      => sreg_block(reg_az),
243
                bx                                      => sreg_block(reg_bx),
244
                by                                      => sreg_block(reg_by),
245
                bz                                      => sreg_block(reg_bz),
246
                vx                                      => svx,
247
                vy                                      => svy,
248
                vz                                      => svz,
249
                sc                                      => ssc,
250
                ack                                     => sr_ack,
251
                empty                           => sr_e,
252
                dcs                                     => sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s),
253 219 jguarin200
                sync_chain_1            => ssync_chain_1,
254 229 jguarin200
                pipeline_pending        => spipeline_pending
255 219 jguarin200
        );
256 211 jguarin200
 
257
 
258
--! ******************************************************************************************************************************************************                                              
259
--! TRANSFER CONTROL RTL CODE
260
--! ******************************************************************************************************************************************************                                              
261
        TRANSFER_CONTROL:
262 229 jguarin200
        process(clk,rst,master_waitrequest,sm,soutb_ae,soutb_usedw,spipeline_pending,soutb_e,zero,soutb_af,sfetch_data_pending,sreg_block,sslave_write,sslave_address,sslave_writedata,ssync_chain_pending,smaster_read,smaster_write,sdata_fetch_counter,sload_add_pending,swrite_pending,sdownload_chain)
263 211 jguarin200
        begin
264 202 jguarin200
 
265 211 jguarin200
                --! Conexi&oacuteln a se&ntilde;ales externas. 
266
                irq <= sreg_block(reg_ctrl)(reg_ctrl_irq);
267
                master_read <= smaster_read;
268
                master_write <= smaster_write;
269 202 jguarin200
 
270 217 jguarin200
                --! Direct Memory Access Selector.
271 150 jguarin200
 
272 217 jguarin200
 
273
 
274 211 jguarin200
                --! ZERO_TRANSIT: Cuando todos los elementos de sincronizaci&oacute;n est&aacute;n en cero menos la cola de sincronizaci&oacute;n de carga de parametros.
275
                sZeroTransit <= not(sload_add_pending or sfetch_data_pending or spipeline_pending or swrite_pending);
276 202 jguarin200
 
277 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION OUT QUEUE: Datos pendientes por cargar a la memoria a trav&eacute;s de la interconexi&oacute;n
278
                swrite_pending <= not(soutb_e);
279 202 jguarin200
 
280
 
281 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION DESCARGA DE DATOS: Hay datos pendientes por descargar desde la memoria a trav&eacute;s de la interconexi&oacute;n.
282 231 jguarin200
                if sdata_fetch_counter=zero(reg_nfetch_high downto 0) then
283 211 jguarin200
                        sfetch_data_pending <= '0';
284
                else
285
                        sfetch_data_pending <= '1';
286
                end if;
287
 
288
                --! ELEMENTO DE SINCRONIZACION CARGA DE DIRECCIONES: Hay direcciones pendientes por cargar a la interconexi&oacute;n?
289 231 jguarin200
                if sreg_block(reg_nfetch)(reg_nfetch_high downto 0)=zero(reg_nfetch_high downto 0) then
290 211 jguarin200
                        sload_add_pending <= '0';
291
                else
292
                        sload_add_pending <= '1';
293
                end if;
294 202 jguarin200
 
295 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION CARGA DE OPERANDOS: Se est&aacute;n cargando los operandos que ser&aacute;n operados en el pipeline aritm&eacute;tico.
296 219 jguarin200
                if sdownload_chain /= DWAX and sdownload_chain /= DWAXBX then
297 211 jguarin200
                        sparamload_pending <= '1';
298
                else
299
                        sparamload_pending <= '0';
300
                end if;
301
 
302
                --! Se debe iniciar una transacci&oacute;n de descarga de datos desde la memoria externa?
303
                if soutb_af='0' and sload_add_pending='1' then
304
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados continuar&aacute; si no est&aacute; tan llena y adem&aacute;s hay pendientes datos por ser descargados.
305
                        sflood_condition <= '1';
306
                else
307
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados debe parar porque est&aacute; cas&iacute; llena.       
308
                        sflood_condition <= '0';
309
                end if;
310 237 jguarin200
 
311
                if sreg_block(reg_nfetch)(reg_nfetch_high downto mb)/=zero(reg_nfetch_high downto mb) then
312 211 jguarin200
                        --! Flow Control: Si el n&uacute;mero de descargas pendientes es mayor o igual al max burst length, entonces cargar max burst en el contador.
313
                        sflood_burstcount <= '1'&zero(mb-1 downto 0);
314
                else
315
                        --! Flow Control: Si le n&uacute;mero de descargas pendientes es inferior a Max Burst Count entonces cargar los bits menos significativos del registro de descargas pendientes.
316 237 jguarin200
                        sflood_burstcount <= '0'&sreg_block(reg_nfetch)(mb-1 downto 0);
317 211 jguarin200
                end if;
318 202 jguarin200
 
319 211 jguarin200
                --! Se debe iniciar una transacci&oacute;n de carga de datos hacia la memoria externa?
320
                if soutb_ae='1' then
321
                        --! Flow Control : Cuando se est&eacute; drenando la cola de resultados, si la cola est&aacute; cas&iacute; vac&iaute;a, la longitud del burst ser&aacute;n los bits menos significativos del contador de la cola.  
322
                        sdrain_burstcount <= soutb_usedw(mb downto 0);
323
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola bajo y no hay datos transitando por el pipeline, ni datos pendientes por cargar desde la memoria.   
324
                        sdrain_condition <= not(sload_add_pending) and not(sfetch_data_pending) and not(spipeline_pending) and swrite_pending;
325
                else
326
                        --! Flow Control: Cuando se est&eacute; drenando la cola de resultados, si la cola de tiene una cantidad de datos mayor al burst count entonces se har&aacute; una transacci&oacute;n de longitud equivalente al burst count.
327
                        sdrain_burstcount <= '1'&zero(mb-1 downto 0);
328
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola es mayor o igual a 2**mb O si hay muy pocos datos y no hay datos transitando por el pipeline.   
329
                        sdrain_condition <= '1';
330
                end if;
331 202 jguarin200
 
332 211 jguarin200
                --! Restart param load chain
333
                srestart_chain <= sreg_block(reg_ctrl)(reg_ctrl_irq) and sreg_block(reg_ctrl)(reg_ctrl_rlsc);
334 202 jguarin200
 
335 217 jguarin200
                --! Data dumpster: Descaratar dato de upload una vez la interconexi&oacute;n haya enganchado el dato.
336 211 jguarin200
                if sm=SINK and master_waitrequest='0' and smaster_write='1' then
337
                        soutb_ack <= '1';
338
                else
339
                        soutb_ack <= '0';
340
                end if;
341 202 jguarin200
 
342 217 jguarin200
 
343
 
344 211 jguarin200
                --! Flow Control State Machine.
345
                if rst=rstMasterValue then
346
 
347
                        --! State Machine 
348
                        sm <= IDLE;
349
 
350
 
351
                        --! Master Write & Read Common Signals Reset Value
352
                        master_burstcount       <= (others => '0');
353
                        master_address          <= (others => '0');
354
                        sdata_fetch_counter     <= (others => '0');
355
                        sburstcount_sink        <= (others => '0');
356 150 jguarin200
 
357 211 jguarin200
                        --! Master Read Only Signals Reset Value
358
                        smaster_read            <= '0';
359
 
360
                        --! Master Write Only Signals
361
                        smaster_write           <= '0';
362
 
363
                        --! Reg Ctrl & Fetch address and writeaddress
364
                        --! Sinking address
365
                        sreg_block(reg_sinkstart) <= (others => '0');
366
                        --! Sourcing address
367
                        sreg_block(reg_fetchstart) <= (others => '0');
368
                        --! Control and Status Register
369
                        sreg_block(reg_ctrl) <= (others => '0');
370
                        --! Contador Overall
371
                        sreg_block(reg_inputcounter) <= (others => '0');
372
                        sreg_block(reg_outputcounter) <= (others => '0');
373 231 jguarin200
                        --! Address Fetch Counter 
374
                        sreg_block(reg_nfetch) <= (others => '0');
375 211 jguarin200
 
376
 
377
                elsif clk'event and clk='1' then
378 150 jguarin200
 
379 211 jguarin200
                        --! Nevermind the State, discount the incoming valid data counter.
380
                        sdata_fetch_counter <= sdata_fetch_counter-master_readdatavalid;
381
 
382
                        --! Debug Counter.
383
                        sreg_block(reg_inputcounter) <= sreg_block(reg_inputcounter) + master_readdatavalid;
384
                        sreg_block(reg_outputcounter) <= sreg_block(reg_outputcounter) + soutb_ack;
385 152 jguarin200
 
386 211 jguarin200
                        --! Flags
387
 
388
 
389
                        case sm is
390
                                when SOURCE =>
391
                                        --! ******************************************************************************************************************************************************                                              
392
                                        --! Flooding the pipeline ........
393
                                        --! ******************************************************************************************************************************************************                                              
394
                                        if smaster_read='0' then
395
                                                if sflood_condition = '1' then
396
                                                        --! Flow Control: Hay suficiente espacio en el buffer de salida y hay descargas pendientes por hacer
397
                                                        smaster_read <= '1';
398
                                                        master_address <= sreg_block(reg_fetchstart);
399
                                                        master_burstcount <= sflood_burstcount;
400
                                                        sdata_fetch_counter <= sdata_fetch_counter+sflood_burstcount-master_readdatavalid;
401
                                                        --! Context Saving:
402
                                                        sreg_block(reg_fetchstart) <= sreg_block(reg_fetchstart) + (sflood_burstcount&"00");
403 231 jguarin200
                                                        sreg_block(reg_nfetch)(reg_nfetch_high downto 0) <= sreg_block(reg_nfetch)(reg_nfetch_high downto 0) - sflood_burstcount;
404 211 jguarin200
                                                else
405
                                                        --! Flow Control : Cambiar al estado SINK, porque o est&aacute; muy llena la cola de salida o no hay descargas pendientes por realizar.
406
                                                        sm <= SINK;
407
                                                end if;
408
                                        else --master_read=1;
409
                                                if master_waitrequest='0' then
410
                                                        --! Las direcciones de lectura est&aacute;n cargadas. Terminar la transferencia.
411
                                                        smaster_read <= '0';
412
                                                end if;
413
                                        end if;
414
                                when SINK =>
415
 
416
                                        --! ******************************************************************************************************************************************************                                              
417
                                        --! Draining the pipeline ........
418
                                        --! ******************************************************************************************************************************************************                                              
419
                                        if smaster_write='0' then
420
 
421
                                                if sdrain_condition='1' then
422
                                                        --! Flow Control : Hay muchos datos aun en la cola de resultados &Oacute; la cola de resultados est&aacute; cas&iacute; vac&iacute;a y no hay datos transitando en el pipeline aritm&eetico.
423
                                                        smaster_write <= '1';
424
                                                        master_address <= sreg_block(reg_sinkstart);
425
                                                        master_burstcount <= sdrain_burstcount;
426 150 jguarin200
 
427 211 jguarin200
                                                        --!Context Saving
428
                                                        sreg_block(reg_sinkstart) <= sreg_block(reg_sinkstart) + (sdrain_burstcount&"00");
429
                                                        sburstcount_sink <= sdrain_burstcount-1;
430
                                                else
431
                                                        --! Flow Control: Son muy pocos los datos que hay en el buffer de salida y existen aun datos transitando en el resto del pipe ir al estado SOURCE.
432
                                                        if sZeroTransit='1' then
433
 
434
                                                                --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
435
                                                                sm <= IDLE;
436
                                                                sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
437
                                                                sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
438 217 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
439 211 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
440 229 jguarin200
 
441 211 jguarin200
                                                        else
442
 
443
                                                                --! Flow Control: Cambiar a Source porque aun hay elementos transitando.
444
                                                                sm <= SOURCE;
445
                                                        end if;
446
 
447
                                                end if;
448
                                        else --!smaster_write=1 
449
                                                if master_waitrequest = '0' then
450
 
451
                                                        --! Descartar datos : revisar antes de este proceso secuencial la parte combinatoria (Data Dumpster).
452
 
453
 
454
                                                        if sburstcount_sink/=zero(mb downto 0) then
455
 
456
                                                                --! Datos pendientes por transmitir aun en el burst. Restar uno 
457
                                                                sburstcount_sink <= sburstcount_sink-1;
458
                                                        else
459
 
460
                                                                --! No escribir mas. Finalizar la transmisi&oacute;n
461
                                                                smaster_write <= '0';
462
 
463
                                                                --! Si no hay transito de dato se con terminada la instrucci&oacute;n siempre que el estado de control de flujo est&eacute; sidera  
464
                                                                if sZeroTransit='1' then
465
 
466
                                                                        --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
467
                                                                        sm <= IDLE;
468
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
469
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
470 217 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
471 211 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
472
 
473
                                                                end if;
474
                                                        end if;
475
                                                end if;
476
                                        end if;
477
 
478 237 jguarin200
                                when IDLE =>
479
 
480 211 jguarin200
                                        --! ******************************************************************************************************************************************************                                              
481
                                        --! Programming the pipeline
482
                                        --! ******************************************************************************************************************************************************                                              
483
                                        --! El registro de control en sus campos fetch e irq, es escribile solo cuando estamos en estado IDLE.           
484
                                        if sslave_write='1' then
485
                                                case sslave_address is
486
                                                        when x"0" =>
487
                                                                --! Solo se permitira escribir en el registro de control si no hay una interrupci&oacute;n activa o si la hay solamente si se esta intentando desactivar la interrupci&acute;n 
488
                                                                if sreg_block(reg_ctrl)(reg_ctrl_irq)='0' or sslave_writedata(reg_ctrl_irq)='0' then
489 237 jguarin200
                                                                        sreg_block(reg_ctrl)<= sslave_writedata;
490 211 jguarin200
                                                                end if;
491 231 jguarin200
                                                        when x"5" => sreg_block(reg_nfetch) <= sslave_writedata;
492 211 jguarin200
                                                        when x"6" => sreg_block(reg_outputcounter) <= sslave_writedata;
493
                                                        when x"7" => sreg_block(reg_inputcounter) <= sslave_writedata;
494
                                                        when x"8" => sreg_block(reg_fetchstart) <= sslave_writedata;
495
                                                        when x"9" => sreg_block(reg_sinkstart) <= sslave_writedata;
496
                                                        when others => null;
497
                                                end case;
498
                                        else
499
 
500
                                                if sZeroTransit='0' then
501
 
502
 
503
                                                        --! Flow Control: Existe un n&uacute;mero de descargas programadas por el sistema, comenzar a realizarlas.
504
                                                        --! Ir al estado Source.
505
                                                        sm <= SOURCE;
506
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '1';
507 219 jguarin200
 
508
                                                else
509
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
510
 
511 211 jguarin200
                                                end if;
512
                                        end if;
513
                        end case;
514
                end if;
515
        end process;
516
--! ******************************************************************************************************************************************************                                              
517
--! FLOW CONTROL RTL CODE
518
--! ******************************************************************************************************************************************************                                              
519 229 jguarin200
--! buffer de salida
520 211 jguarin200
--! ******************************************************************************************************************************************************                                              
521
        output_buffer:scfifo
522
        generic map (almost_empty_value => 2**mb,almost_full_value => (2**fd)-52, lpm_widthu => fd, lpm_numwords => 2**fd, lpm_showahead => "ON", lpm_width => 32, overflow_checking => "ON", underflow_checking => "ON", use_eab => "ON")
523
        port map        (empty => soutb_e, aclr => '0', clock => clk, rdreq      => soutb_ack, wrreq     => soutb_w,     q => master_writedata, usedw    => soutb_usedw, almost_full => soutb_af, almost_empty => soutb_ae, data => soutb_d);
524
--! ******************************************************************************************************************************************************                                              
525
--! PROCESO DE CONTROL DE FLUJO ENTRE EL BUFFER DE RESULTADOS Y EL BUFFER DE SALIDA
526
--! ******************************************************************************************************************************************************                                              
527
 
528
        FLOW_CONTROL_OUTPUT_STAGE:
529 229 jguarin200
        process (clk,rst,master_readdata, master_readdatavalid,sr_e,sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc),sm,supload_chain,zero,ssync_chain_pending,supload_start)
530 211 jguarin200
        begin
531
 
532
 
533
                --! Compute initial State.
534
 
535
                --! Escribir en el output buffer.
536 217 jguarin200
                if supload_chain=DMA then
537
                        --! Modo DMA escribir los datos de entrada directamente en el buffer.
538
                        soutb_w <= master_readdatavalid;
539
                else
540
                        --!Modo Arithmetic Pipeline 
541 229 jguarin200
                        soutb_w <= not(sr_e);
542 217 jguarin200
                end if;
543 211 jguarin200
 
544
                --! Control de lectura de la cola de resultados.
545 229 jguarin200
                if sr_e='0' then
546 211 jguarin200
                        --!Hay datos en la cola de resultados.
547 219 jguarin200
                        if (supload_chain=UPVZ and sreg_block(reg_ctrl)(reg_ctrl_sc)='0') or supload_chain=SC then
548 211 jguarin200
                                --!Se transfiere el ultimo componente vectorial y no se estan cargando resultados escalares.
549 229 jguarin200
                                sr_ack <= '1';
550 219 jguarin200
                        else
551 229 jguarin200
                                sr_ack <= '0';
552 211 jguarin200
                        end if;
553
                else
554 229 jguarin200
                        sr_ack <= '0';
555 211 jguarin200
                end if;
556
 
557 217 jguarin200
 
558 211 jguarin200
                --! Decodificar que salida de la cola de resultados se conecta a la entrada del otput buffer
559 217 jguarin200
                --! DMA Path Control: Si se encuentra habilitado el modo dma entonces conectar la entrada del buffer de salida a la interconexi&oacute;n
560 211 jguarin200
                case supload_chain is
561 219 jguarin200
                        when UPVX =>
562 229 jguarin200
                                soutb_d <= svx;
563 219 jguarin200
                        when UPVY =>
564 229 jguarin200
                                soutb_d <= svy;
565 219 jguarin200
                        when UPVZ =>
566 229 jguarin200
                                soutb_d <= svz;
567 211 jguarin200
                        when SC =>
568 229 jguarin200
                                soutb_d <= ssc;
569 217 jguarin200
                        when DMA =>
570
                                soutb_d <= master_readdata;
571 211 jguarin200
                end case;
572
 
573
 
574
                case sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc) is
575
                        when "01" =>
576
                                supload_start <= SC;
577
                        when others =>
578 219 jguarin200
                                supload_start <= UPVX;
579 211 jguarin200
                end case;
580
 
581
 
582
                --! M&aacute;quina de estados para el width adaptation RES(128) -> OUTPUTBUFFER(32).    
583
                if rst=rstMasterValue then
584 219 jguarin200
                        supload_chain <= UPVX;
585 217 jguarin200
                elsif clk'event and clk='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
586
                        --! Modo de operaci&oacute;n normal.
587 211 jguarin200
                        case supload_chain is
588 219 jguarin200
                                when UPVX =>
589 229 jguarin200
                                        if sr_e='1' then
590 211 jguarin200
                                                supload_chain <= supload_start;
591
                                        else
592 219 jguarin200
                                                supload_chain <= UPVY;
593 211 jguarin200
                                        end if;
594 219 jguarin200
                                when UPVY =>
595
                                        supload_chain <= UPVZ;
596
                                when UPVZ =>
597 211 jguarin200
                                        if sreg_block(reg_ctrl)(reg_ctrl_sc)='0' then
598 219 jguarin200
                                                supload_chain <= UPVX;
599 211 jguarin200
                                        else
600
                                                supload_chain <= SC;
601
                                        end if;
602 217 jguarin200
                                when SC|DMA =>
603 211 jguarin200
                                        supload_chain <= supload_start;
604 217 jguarin200
 
605 211 jguarin200
                        end case;
606 217 jguarin200
 
607
                elsif clk'event and clk='1' then
608
                        --! Modo DMA
609
                        supload_chain <= DMA;
610 211 jguarin200
                end if;
611
 
612
 
613
        end process;
614
--! ******************************************************************************************************************************************************                                              
615
--! PROCESO DE CONTROL DE FLUJO ENTRE LA ENTRADA DESDE LA INTERCONEXI&OACUTE;N Y LOS PARAMETROS DE ENTRADA EN EL PIPELINE ARITMETICO
616
--! ******************************************************************************************************************************************************                                              
617
        FLOW_CONTROL_INPUT_STAGE:
618 217 jguarin200
        process(clk,rst,master_readdatavalid,master_readdata,sreg_block(reg_ctrl)(reg_ctrl_dma downto reg_ctrl_s),sslave_write,sslave_address,supload_chain)
619 211 jguarin200
        begin
620
                --! Est&aacute; ocurriendo un evento de transici&oacute;n del estado TX al estado FETCH: Programar el enganche de par&aacute;metros que vienen de la interconexi&oacute;n.
621 219 jguarin200
                --! Mirar como es la carga inicial. Si es Normalizacion o Magnitud (dcs=110) entonces cargar DWAXBX de lo contrario solo DWAX.
622 211 jguarin200
                case sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s) is
623 221 jguarin200
                        when "110"      =>      sdownload_start <= DWAXBX;
624
                        when others     =>      sdownload_start <= DWAX;
625 211 jguarin200
                end case;
626
                if rst=rstMasterValue then
627
                        ssync_chain_1 <= '0';
628 219 jguarin200
                        sdownload_chain <= DWAX;
629 211 jguarin200
                        for i in reg_bz downto reg_ax loop
630
                                sreg_block(i) <= (others => '0');
631
                        end loop;
632
                elsif clk'event and clk='1' then
633
                        ssync_chain_1   <= '0';
634 217 jguarin200
                        if master_readdatavalid='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
635 211 jguarin200
                                --! El dato en la interconexi&oacute;n es valido, se debe enganchar. 
636
                                case sdownload_chain is
637 219 jguarin200
                                        when DWAX | DWAXBX  =>
638 211 jguarin200
                                                --! Cargar el operando correspondiente al componente "X" del vector "A" 
639
                                                ssync_chain_1 <= '0';
640
                                                sreg_block(reg_ax) <= master_readdata;
641 219 jguarin200
                                                if sdownload_start = DWAXBX then
642 211 jguarin200
                                                        --! Operaci&oacute;n Unaria por ejemplo magnitud de un vector
643
                                                        --! Escribir en el registro bx adicionalmente. 
644
                                                        sreg_block(reg_bx) <= master_readdata;
645
                                                        --! El siguiente estado es cargar el componente "Y" de del operando a ejecutar. 
646 219 jguarin200
                                                        sdownload_chain <= DWAYBY;
647 211 jguarin200
                                                else
648
                                                        --! Operaci&oacute;n de dos operandos. Por ejemplo Producto Cruz.
649
                                                        --! El siguiente estado es cargar el vector "Y" del operando "A".
650 219 jguarin200
                                                        sdownload_chain <= DWAY;
651 211 jguarin200
                                                end if;
652 219 jguarin200
                                        when DWAY | DWAYBY =>
653 211 jguarin200
                                                sreg_block(reg_ay) <= master_readdata;
654
                                                ssync_chain_1 <= '0';
655 219 jguarin200
                                                if sdownload_chain = DWAYBY then
656 211 jguarin200
                                                        sreg_block(reg_by) <= master_readdata;
657 219 jguarin200
                                                        sdownload_chain <= DWAZBZ;
658 211 jguarin200
                                                else
659 219 jguarin200
                                                        sdownload_chain <= DWAZ;
660 211 jguarin200
                                                end if;
661 219 jguarin200
                                        when DWAZ  | DWAZBZ =>
662 211 jguarin200
                                                sreg_block(reg_az) <= master_readdata;
663 219 jguarin200
                                                if sdownload_chain=DWAZBZ then
664 211 jguarin200
                                                        ssync_chain_1 <= '1';
665
                                                        sreg_block(reg_bz) <= master_readdata;
666 219 jguarin200
                                                        sdownload_chain <= DWAXBX;
667 211 jguarin200
                                                else
668
                                                        ssync_chain_1 <= '0';
669 219 jguarin200
                                                        sdownload_chain <= DWBX;
670 211 jguarin200
                                                end if;
671 219 jguarin200
                                        when DWBX  =>
672 211 jguarin200
                                                ssync_chain_1 <= '0';
673
                                                sreg_block(reg_bx) <= master_readdata;
674 219 jguarin200
                                                sdownload_chain <= DWBY;
675
                                        when DWBY =>
676 211 jguarin200
                                                ssync_chain_1 <= '0';
677
                                                sreg_block(reg_by) <= master_readdata;
678 219 jguarin200
                                                sdownload_chain <= DWBZ;
679
                                        when DWBZ =>
680 211 jguarin200
                                                sreg_block(reg_bz) <= master_readdata;
681
                                                ssync_chain_1 <= '1';
682
                                                if sreg_block(reg_ctrl)(reg_ctrl_cmb)='1' then
683 219 jguarin200
                                                        sdownload_chain <= DWBX;
684 211 jguarin200
                                                else
685 219 jguarin200
                                                        sdownload_chain <= DWAX;
686 211 jguarin200
                                                end if;
687
                                        when others =>
688
                                                null;
689
                                end case;
690
 
691
                                if srestart_chain='1' then
692
                                        sdownload_chain <= sdownload_start;
693
                                end if;
694
 
695
                        end if;
696
                end if;
697
        end process;
698
--! *************************************************************************************************************************************************************************************************************************************************************
699
--! AVALON MEMORY MAPPED MASTER FINISHED
700
--! *************************************************************************************************************************************************************************************************************************************************************
701
--! *************************************************************************************************************************************************************************************************************************************************************
702
--! AVALON MEMORY MAPPED SLAVE BEGINS =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>
703
--! *************************************************************************************************************************************************************************************************************************************************************
704
        --! Master Slave Process: Proceso para la escritura y lectura de registros desde el NIOS II.
705
        low_register_bank:
706 229 jguarin200
        process (clk,rst,sreg_block,soutb_w,supload_chain)
707 211 jguarin200
        begin
708
                if rst=rstMasterValue then
709 231 jguarin200
                        for i in reg_scalar downto reg_vz loop
710 211 jguarin200
                                sreg_block(i) <= (others => '0');
711
                        end loop;
712
 
713
                        slave_readdata <= (others => '0');
714
                        sslave_address <= (others => '0');
715
                        sslave_writedata <= (others => '0');
716
                        sslave_write <= '0';
717
                        sslave_read <= '0';
718
                elsif clk'event and clk='1' then
719
 
720
 
721
                        sslave_address          <= slave_address;
722
                        sslave_write            <= slave_write;
723
                        sslave_read                     <= slave_read;
724
                        sslave_writedata        <= slave_writedata;
725 229 jguarin200
 
726
 
727 231 jguarin200
                        for i in reg_scalar downto reg_vz loop
728 211 jguarin200
                                if sslave_address=i then
729
                                        if sslave_write='1' then
730
                                                sreg_block(i) <= sslave_writedata;
731
                                        end if;
732
                                end if;
733
                        end loop;
734
                        for i in 15 downto 0 loop
735
                                if sslave_address=i then
736
                                        if sslave_read='1' then
737
                                                slave_readdata <= sreg_block(i);
738
                                        end if;
739
                                end if;
740
                        end loop;
741
                end if;
742
        end process;
743
--! *************************************************************************************************************************************************************************************************************************************************************
744
--! AVALON MEMORY MAPPED SLAVE FINISHED
745
--! *************************************************************************************************************************************************************************************************************************************************************
746
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
747 217 jguarin200
        --! Control Register (reg_ctrl) BASE_ADDRESS + 0x0                                                                                                                                                                                              |
748 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
749
        --! Bit No.     | Nombre        | Descripci&oacute;n                                                                                                                                                                                            |
750
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
751 217 jguarin200
        --! 0           | cmb (rw)      | 1:    La operaci&oacute;n es combinatoria, por lo tanto cargan los primeros 3 valores en el Operando A y el           |
752
        --!                     |                       |               de vectores en el operando B.                                                                                                                                                           |
753 211 jguarin200
        --!                     |                       | 0:    La operaci&oacute;n no es combinatoria, se cargan vectores en los operandos A y B.                                                      |
754
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
755
        --!                     |                       |               Configuraci&oacute;n del Datapath, Interconexi&oacute;n del Pipeline Aritm&eacute;tico y Cadena de Carga        |
756
        --!                     |                       |               Dependiendo del valor de estos 3 bits se configura la operaci&oacute;n a ejecutar.                                                      |
757
        --!                     |                       |                                                                                                                                                                                                                                       |
758
        --! [3:1]       | dcs (rw)      | 011:  Producto Cruz                                                                                                                                                                                           |
759
        --!                     |                       | 000:  Suma Vectorial                                                                                                                                                                                          |
760
        --!                     |                       | 001:  Resta Vectorial                                                                                                                                                                                         |
761
        --!                     |                       | 110:  Normalizaci&oacute;n Vectorial y c&aacute;lculo de Magnitud Vectorial                                                                           |
762
        --!                     |                       | 100:  Producto Punto                                                                                                                                                                                          |
763
        --!                     |                       | 111:  Producto Simple                                                                                                                                                                                         |
764
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
765
        --! [5:4]       | vtsc (rw)     | 00:   Solo leer los resultados vectoriales.                                                                                                                                           |
766
        --!                     |                       | 01:   Solo leer los resultados escalares.                                                                                                                                                     |
767
        --!                     |                       | 10:   Solo leer los resultados vectoriales.                                                                                                                                           |
768
        --!                     |                       | 11:   Leer los resultados escalares y vectoriales.                                                                                                                            |
769
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
770 217 jguarin200
        --! 6           | dma (rw)      |  1:   Modo DMA: Los datos que ingresan se leen desde la direcci&oacute;n FETCHSTART (BASE+0x08) y se escriben en  |
771
        --!                     |                       |               la direcci&oacute;n SINKSTART (BASE+0x09).                                                                                                                                      |
772
        --!                     |                       |  0:   Modo Arithmetic Pipeline: Los datos ingresan en grupos de a 6 valores para 2 vectores de 3 valores cada uno,|
773
        --!                     |                       |               cuando se usa en modo uno a uno (cmb=1), &oacute; en grupos de 3 valores para 1 vector de 3 valores,            |
774
        --!                     |                       |               pero con el operando A fijado con el valor de la primera carga de valores en modo combinatorio (cmb=1).         |
775
        --!                     |                       |               De la misma manera que en modo DMA se cargan los operandos en la direcci&oacute;n FETCHSTART y se escriben      |
776
        --!                     |                       |               los resultados en la direcci&oacute;n SINKSTART.                                                                                                                        |
777
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
778
        --! 7           | flag_fc(r)|  1:       Al momento de generar una interrupci&oacute;n este bit se coloca en 1 si se cumplen las condiciones de          |
779
        --!                     |                       |               descarga de datos de la memoria (revisar el net signal sflood_condition). Si se encuentra en uno se                     |
780
        --!                     |                       |               tratar&iacute;a de una inconsistencia puesto que la interrupci&oacute;n se dispara una vez se ha terminado      |
781
        --!             |                       |               de ejecutar una instrucci&oacute;n y el que la bandera este en uno significa que hay transacciones de           |       
782
        --!                     |                       |               descarga de datos desde la memoria pendientes.                                                                                                                          |
783
        --!                     |                       |                                                                                                                                                                                                                                       |
784
        --!                     |                       |               En general que cualquiera de estas banderas se coloque en uno es una se&ntilde;alizacion de error, puesto       |
785
        --!                     |                       |               que una vez se ha terminado de ejecutar una instrucci&oacute;n no deben haber transacciones pendientes.         |
786
        --!                     |                       |               La raz&oacute;n de ser de estas banderas es hacer depuraci&oacute;n del hardware mas que del software.          |
787
        --!                     |                       |                                                                                                                                                                                                                                       |
788
        --!                     |                       |  0:   Flood Condition off.                                                                                                                                                                            |
789
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
790
        --! 8           | flag_dc(r)|  1:       Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |
791
        --!                     |                       |  0:   Drain Condition off.                                                                                                                                                                            |
792
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
793
        --! 9           | wp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |                                                                                                                                                                                       
794
        --!                     |                       |  0:   Write on Memory not pending.                                                                                                                                                            |
795
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
796
        --! 10          | pp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute;n y hay datos transitando el pipeline aritm&eacute;tico.       |
797
        --!                     |                       |  0:   Pipeline not pending.                                                                                                                                                                           |
798
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
799
        --! 11          | pl(r)         |  1:   La carga de parametros no se complet&oacute;. Esto por lo general pasa cuando uno va a realizar una                     |
800
        --!             |                       |               operaci&acute;n combinatoria y solo cargo el primer operando, el A, esto puede ocurrir porque por ejemplo       |
801
        --!                     |                       |               se puede desear sumar un conjunto de vectores a un vector de referencia. Este vector de referencia puede        |
802
        --!                     |                       |               estar en un area de memoria distinta, que el resto de los vectores. Por lo tanto el pseudo codigo para          |
803
        --!                     |                       |               ejecutar una operaci&oacute;n de este tipo seria:                                                                                                                       |
804
        --!                     |                       |                                                                                                                                                                                                                                       |       
805
        --!                     |                       |               ld vect,add,cmb;        //Resultados solo vectoriales, ejecutar operaci&oacute;n suma en modo combinatorio              |
806
        --!                     |                       |               ld &A;                          //Cargar la direccion del Vector A.                                                                                                             |
807
        --!                     |                       |               ld 3;                           //Cargar 3 valores, o sea el Vector A.                                                                                                  | 
808
        --!                     |                       |               wait int;                       //Esperar a que se ejecute la interrupcion. Una vez se termine de ejecutar si la bandera|
809
        --!                     |                       |                                                       //pl est&aacute; en uno se vuelve a comenzar y se deshecha el dato que hay como                 |
810
        --!                     |                       |                                                       //par&aacute;metro.     Para este ejemplo se asume que est&aacute en uno                                        |
811
        --!                     |                       |               ld &B;                          //Cargar la direcci&oacute;n donde se encuentran los vectores B                                                 |
812
        --!                     |                       |               ld &C;                          //Cargar la direcci&oacute;n donde se exribiran los resultados.                                                 |
813
        --!                     |                       |               ld 24;                          //Cargar los siguientes 24 valores a partir de &B correspondiente a 8 vectores                  |
814
        --!                     |                       |                                                       //ejecutando 8 sumas vectoriales que se escribir&iacute;n a apartir de &C                               |
815
        --!                     |                       |               wait int;                       //Esperar a que termine la ejecuci&oacute;n de las sumas.                                                               |
816
        --!                     |                       |                                                                                                                                                                                                                                       |
817
        --!                     |                       |  0:   Los operandos se cargaron integros se cargo del todo y no hubo que desechar parametros.                                         |
818
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
819
        --! 12          | dp (r)        |  1:   Error, la instrucci&oacute;n se termino y aun hay datos pendientes por ser descargados                                          |
820
        --!                     |                       |  0:   No hay datos pendientes por ser descargados.                                                                                                                            |
821
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
822
        --! 13          | ap (r)        |  1:   Carga de direcciones en la interconexi&oacute;n a&uacute;n est&aacute; pendiente y la instrucci&oacute; ya      |
823
        --!                     |                       |               se ejecut&oacute;                                                                                                                                                                                       |
824
        --!                     |                       |  0:   No hay direcciones pendientes por cargar.                                                                                                                                       |
825
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
826 211 jguarin200
        --! 14          | rlsc (rw)     | 1:    El sistema est&aacute; configurado para resetear la recarga sincronizada de par&aacute;metros una vez           |
827
        --!                     |                       |               concluya la instrucci&oacute;n                                                                                                                                                          |
828
        --!                     |                       |                                                                                                                                                                                                                                       |
829 217 jguarin200
        --!                     |                       | 0:    El sistema est&aacute; configurado para no resetear la cadena de sincronizaci&oacute;n de carga.                        |
830 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
831 217 jguarin200
        --! 15          | rom (r)       | 1: Los registros solo se pueden leer no se pueden escribir. Etsado SINK y SOURCE                                                                      |
832 211 jguarin200
        --!                     |                       | 0: Los registros se pueden leer y escribir.                                                                                                                                           |
833
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
834
        --! [30:16]     | nfetch(rw)| Cantidad de direcciones a cargar en la interconex&oacute;n para realizar la posterior descarga de datos de la     |
835
        --!                     |                       | memoria al RayTrac.
836
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
837
        --!     31              | irq           | 1:    Evento de interrupci&oacute;n. El usuario debe hacer clear de este bit para dar la interrupci&o;n por           |
838
        --!                     |                       |               por atendida. Este bit se pone en uno cuando el sistema pasa de estado TX a FETCH o FETCH a TX.                         |
839
        --!                     |                       |                                                                                                                                                                                                                                       |
840
        --!                     |                       | 0:    El RayTrac se encuentra en operaci&oacute;n Normal.                                                                                                                     |
841
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
842 217 jguarin200
        --! Result Vector Z component (reg_vz)  BASE_ADDRESS + 0x4                                                                                                                                                                      |
843 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
844 217 jguarin200
        --! Result Vector Y component (reg_vy) BASE_ADDRESS + 0x8                                                                                                                                                                       |
845 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
846 217 jguarin200
        --! Result Vector X component (reg_vx) BASE_ADDRESS + 0xC                                                                                                                                                                       |
847 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
848 217 jguarin200
        --! Result Vector Scalar component (reg_scalar) BASE_ADDRESS + 0x10                                                                                                                                                     |
849 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
850 231 jguarin200
        --! Scratch Vector 00   (reg_nfetch) BASE_ADDRESS +     0x14                                                                                                                                                            |
851 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
852 217 jguarin200
        --! output Data Counter (reg_outputcounter) BASE_ADDRESS + 0x18                                                                                                                                                         |
853 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
854 217 jguarin200
        --! Input Data Counter  (reg_inputcounter) BASE_ADDRESS + 0x1C                                                                                                                                                          |
855
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
856
        --! Data Fetch Start Address (reg_fetchstart) BASE_ADDRESS + 0x20                                                                                                                                                       |
857
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
858
        --! Data Write Start Address (reg_sinkstart) BASE_ADDRESS + 0x24                                                                                                                                                        |
859
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
860 219 jguarin200
        --! Parameter AX component (reg_ax) BASE_ADDRESS + 0x28                                                                                                                                                                         |
861 217 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
862
        --! Parameter Ay component (reg_ay) BASE_ADDRESS + 0x2C                                                                                                                                                                         |
863
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
864
        --! Parameter Az component (reg_az) BASE_ADDRESS + 0x30                                                                                                                                                                         |
865
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
866
        --! Parameter Bx component (reg_bx) BASE_ADDRESS + 0x34                                                                                                                                                                         |
867
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
868
        --! Parameter By component (reg_by) BASE_ADDRESS + 0x38                                                                                                                                                                         |
869
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
870
        --! Parameter Bz component (reg_bz) BASE_ADDRESS + 0x3C                                                                                                                                                                         |
871
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|   
872
 
873
 
874
 
875
 
876
 
877
 
878
end architecture;
879
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.