OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Blame information for rev 246

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 219 jguarin200
--! @file raytrac.vhd
2
--! @brief Sistema de Procesamiento Vectorial. La interface es compatible con el bus Avalon de Altera.  
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- raytrac.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23 150 jguarin200
library ieee;
24
use ieee.std_logic_1164.all;
25 211 jguarin200
use ieee.std_logic_unsigned.all;
26 219 jguarin200
use work.arithpack.all;
27 150 jguarin200
 
28 211 jguarin200
library altera_mf;
29
use altera_mf.altera_mf_components.all;
30
 
31
library lpm;
32
use lpm.lpm_components.all;
33
 
34
 
35 217 jguarin200
entity raytrac is
36 211 jguarin200
        generic (
37
                wd      :       integer := 32;
38
                fd      :       integer := 8;   --! Result Fifo Depth = 2**fd =256
39 219 jguarin200
                mb      :       integer := 4    --! Max Burst Length = 2**mb            
40 211 jguarin200
        );
41 150 jguarin200
        port (
42 211 jguarin200
                clk:    in std_logic;
43
                rst:    in std_logic;
44 150 jguarin200
 
45 211 jguarin200
                --! Avalon MM Slave
46 234 jguarin200
                slave_address                           :       in      std_logic_vector(3 downto 0);
47 211 jguarin200
                slave_read                              :       in      std_logic;
48
                slave_write                             :       in      std_logic;
49 246 jguarin200
                slave_readdata                  :       out     std_logic_vector(31 downto 0);
50 211 jguarin200
                slave_writedata                 :       in      std_logic_vector(31 downto 0);
51
 
52
                --! Avalon MM Master (Read & Write common signals)      
53 246 jguarin200
                master_address                  :       out     std_logic_vector(31 downto 0);
54
                master_burstcount                       :       out     std_logic_vector(4 downto 0);
55 234 jguarin200
                master_waitrequest                      :       in      std_logic;
56 150 jguarin200
 
57 211 jguarin200
                --! Avalon MM Master (Read Stage)
58
                master_read                             :       out     std_logic;
59
                master_readdata                 :       in      std_logic_vector(31 downto 0);
60 234 jguarin200
                master_readdatavalid            :       in      std_logic;
61 202 jguarin200
 
62 211 jguarin200
                --! Avalon MM Master (Write Stage)
63
                master_write                    :       out     std_logic;
64
                master_writedata                :       out std_logic_vector(31 downto 0);
65 150 jguarin200
 
66 211 jguarin200
                --! Avalon IRQ
67
                irq                                             :       out std_logic
68 150 jguarin200
 
69 211 jguarin200
 
70
 
71 150 jguarin200
        );
72
end entity;
73
 
74
 
75 217 jguarin200
architecture raytrac_arch of raytrac is
76 211 jguarin200
 
77 229 jguarin200
 
78 211 jguarin200
        --! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html .... 
79 230 jguarin200
        attribute altera_attribute : string;
80
        attribute altera_attribute of raytrac_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
81 161 jguarin200
 
82 211 jguarin200
 
83 219 jguarin200
        type    registerblock   is array (15 downto 0) of xfloat32;
84 211 jguarin200
        type    transferState   is (IDLE,SINK,SOURCE);
85 231 jguarin200
        type upload_chain       is (UPVX,UPVY,UPVZ,SC,DMA);
86 219 jguarin200
        type    download_chain  is (DWAX,DWAY,DWAZ,DWBX,DWBY,DWBZ,DWAXBX,DWAYBY,DWAZBZ);
87 202 jguarin200
 
88 211 jguarin200
        constant reg_ctrl                               :       integer:=00;
89 231 jguarin200
        constant reg_vz                         :       integer:=01;
90
        constant reg_vy                         :       integer:=02;
91
        constant reg_vx                         :       integer:=03;
92
        constant reg_scalar                     :       integer:=04;
93
        constant reg_nfetch                     :       integer:=05;
94 211 jguarin200
        constant reg_outputcounter              :       integer:=06;
95
        constant reg_inputcounter               :       integer:=07;
96
        constant reg_fetchstart                 :       integer:=08;
97
        constant reg_sinkstart                  :       integer:=09;
98 231 jguarin200
        constant reg_ax                         :       integer:=10;
99
        constant reg_ay                         :       integer:=11;
100
        constant reg_az                         :       integer:=12;
101
        constant reg_bx                         :       integer:=13;
102
        constant reg_by                         :       integer:=14;
103
        constant reg_bz                         :       integer:=15;
104 211 jguarin200
 
105
 
106 172 jguarin200
 
107 211 jguarin200
        constant reg_ctrl_cmb                   :       integer:=00;    --! CMB bit : Combinatorial Instruction.
108 231 jguarin200
        constant reg_ctrl_s                     :       integer:=01;    --! S bit of the DCS field.
109
        constant reg_ctrl_c                     :       integer:=02;    --! C bit of the DCS field.
110
        constant reg_ctrl_d                     :       integer:=03;    --! D bit of the DCS field.
111 202 jguarin200
 
112 211 jguarin200
        constant reg_ctrl_sc                    :       integer:=04;    --! SC bit of the VTSC field.
113
        constant reg_ctrl_vt                    :       integer:=05;    --! VT bit of the VTSC field.
114 217 jguarin200
        constant reg_ctrl_dma                   :       integer:=06;    --! DMA bit.
115 211 jguarin200
        constant reg_ctrl_flags_fc              :       integer:=07;    --! Flood Condition Flag.
116 202 jguarin200
 
117 211 jguarin200
        constant reg_ctrl_flags_dc              :       integer:=08;    --! Drain Condition Flag.       
118
        constant reg_ctrl_flags_wp              :       integer:=09;    --! Write on Memory Pending Flag.
119
        constant reg_ctrl_flags_pp              :       integer:=10;    --! Pipeline Pending Flag.
120
        constant reg_ctrl_flags_pl              :       integer:=11;    --! Load Parameter Pending Flag.
121 202 jguarin200
 
122 211 jguarin200
        constant reg_ctrl_flags_dp              :       integer:=12;    --! Data Pending flag.
123
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
124
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
125
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
126 202 jguarin200
 
127 229 jguarin200
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
128 246 jguarin200
        constant reg_ctrl_ageb                  :       integer:=17;    --! A>=B.
129
        constant reg_ctrl_aeb                   :       integer:=18;    --! A==B.
130
        constant reg_ctrl_aneb                  :       integer:=19;    --! A!=B.
131
 
132
        constant reg_ctrl_accum_op              :       integer:=20;    --! Acummulative Addition/Sub. User must write in the high word of nfetch how many time should be executed the addition/sub.
133
 
134 211 jguarin200
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
135 231 jguarin200
 
136
        --! Nfetch Reg Mask
137
        constant reg_nfetch_high        :       integer:=11;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
138 211 jguarin200
 
139
 
140
        --! Avalon MM Slave
141 229 jguarin200
 
142 211 jguarin200
        signal  sreg_block                      :       registerblock;
143
        signal  sslave_read                     :       std_logic;
144
        signal  sslave_write            :       std_logic;
145 219 jguarin200
        signal  sslave_writedata        :       std_logic_vector (wd-1 downto 0);
146
        signal  sslave_address          :       std_logic_vector (3 downto 0);
147 211 jguarin200
        signal  sslave_waitrequest      :       std_logic;
148 217 jguarin200
 
149 211 jguarin200
        --! Avalon MM Master
150
        signal  smaster_write           :       std_logic;
151
        signal  smaster_read            :       std_logic;
152 202 jguarin200
 
153 211 jguarin200
        --! State Machine and event signaling
154
        signal sm                                       :       transferState;
155
 
156 230 jguarin200
        signal sr_e                                     :       std_logic;
157 229 jguarin200
        signal sr_ack                           :       std_logic;
158 211 jguarin200
        signal soutb_ack                        :       std_logic;
159
 
160
 
161 229 jguarin200
 
162 211 jguarin200
        signal soutb_d                          :       std_logic_vector(wd-1 downto 0);
163
 
164
 
165
        signal soutb_w                          :       std_logic;
166
 
167
        signal soutb_e                          :       std_logic;
168
        signal soutb_ae                         :       std_logic;
169
        signal soutb_af                         :       std_logic;
170
        signal soutb_usedw                      :       std_logic_vector(fd-1 downto 0);
171
 
172
        signal ssync_chain_1            :       std_logic;
173 229 jguarin200
 
174 211 jguarin200
        signal ssync_chain_pending      :       std_logic;
175
        signal sfetch_data_pending      :       std_logic;
176
        signal sload_add_pending        :       std_logic;
177
        signal spipeline_pending        :       std_logic;
178
        signal swrite_pending           :   std_logic;
179
        signal sparamload_pending       :       std_logic;
180
        signal sZeroTransit                     :       std_logic;
181
 
182
 
183
        --!Unload Control
184
        signal supload_chain    : upload_chain;
185
        signal supload_start    : upload_chain;
186 202 jguarin200
 
187 211 jguarin200
        --!Se&ntilde;ales de apoyo:
188
        signal zero : std_logic_vector(31 downto 0);
189
 
190
        --!High Register Bank Control Signals or AKA Load Sync Chain Control
191
        signal sdownload_chain  : download_chain;
192
        signal sdownload_start  : download_chain;
193
        signal srestart_chain   : std_logic;
194
        --!State Machine Hysteresis Control Signals
195
        signal sdrain_condition         : std_logic;
196
        signal sdrain_burstcount        : std_logic_vector(mb downto 0);
197 231 jguarin200
        signal sdata_fetch_counter      : std_logic_vector(reg_nfetch_high downto 0);
198 211 jguarin200
        signal sburstcount_sink         : std_logic_vector(mb downto 0);
199
 
200
        signal sflood_condition         : std_logic;
201
        signal sflood_burstcount        : std_logic_vector(mb downto 0);
202 177 jguarin200
 
203 243 jguarin200
        signal sp0,sp1,sp2                      : std_logic_vector(31 downto 0);
204 219 jguarin200
        --! Arithmetic Pipeline and Data Path Control
205
        component ap_n_dpc
206
        port (
207 243 jguarin200
 
208
                p0,p1,p2                                        : out std_logic_vector(31 downto 0);
209 219 jguarin200
                clk                                             : in    std_logic;
210
                rst                                             : in    std_logic;
211 229 jguarin200
                ax                                              : in    std_logic_vector(31 downto 0);
212
                ay                                              : in    std_logic_vector(31 downto 0);
213
                az                                              : in    std_logic_vector(31 downto 0);
214
                bx                                              : in    std_logic_vector(31 downto 0);
215
                by                                              : in    std_logic_vector(31 downto 0);
216
                bz                                              : in    std_logic_vector(31 downto 0);
217
                vx                                              : out   std_logic_vector(31 downto 0);
218
                vy                                              : out   std_logic_vector(31 downto 0);
219
                vz                                              : out   std_logic_vector(31 downto 0);
220
                sc                                              : out   std_logic_vector(31 downto 0);
221
                ack                                             : in    std_logic;
222
                empty                                   : out   std_logic;
223
                dcs                                             : in    std_logic_vector(2 downto 0);            --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
224 219 jguarin200
                sync_chain_1                    : in    std_logic;              --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
225 229 jguarin200
                pipeline_pending                : out   std_logic               --! Se&ntilde;al para indicar si hay datos en el pipeline aritm&eacute;tico.    
226 219 jguarin200
        );
227
        end component;
228
 
229 230 jguarin200
        --! Nets para la salida de la cola de resultados y entrada del multiplexor del upload state machine.
230 229 jguarin200
        signal svx,svy,svz,ssc          : std_logic_vector(31 downto 0);
231 219 jguarin200
 
232 211 jguarin200
begin
233
 
234 219 jguarin200
        --!Zero agreggate
235 211 jguarin200
        zero    <= (others => '0');
236
 
237
 
238 219 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
239
--! ARITHMETIC PIPELINE AND DATA PATH INSTANTIATION  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  => 
240
--! *************************************************************************************************************************************************************************************************************************************************************
241 211 jguarin200
 
242 219 jguarin200
        --! Arithpipeline and Datapath Control Instance
243
        arithmetic_pipeline_and_datapath_controller : ap_n_dpc
244
        port map (
245 243 jguarin200
                p0                              => sp0,
246
                p1                              => sp1,
247
                p2                              => sp2,
248 219 jguarin200
                clk                             => clk,
249
                rst                             => rst,
250 229 jguarin200
                ax                                      => sreg_block(reg_ax),
251
                ay                                      => sreg_block(reg_ay),
252
                az                                      => sreg_block(reg_az),
253
                bx                                      => sreg_block(reg_bx),
254
                by                                      => sreg_block(reg_by),
255
                bz                                      => sreg_block(reg_bz),
256
                vx                                      => svx,
257
                vy                                      => svy,
258
                vz                                      => svz,
259
                sc                                      => ssc,
260
                ack                                     => sr_ack,
261
                empty                           => sr_e,
262
                dcs                                     => sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s),
263 219 jguarin200
                sync_chain_1            => ssync_chain_1,
264 229 jguarin200
                pipeline_pending        => spipeline_pending
265 219 jguarin200
        );
266 211 jguarin200
 
267
 
268
--! ******************************************************************************************************************************************************                                              
269
--! TRANSFER CONTROL RTL CODE
270
--! ******************************************************************************************************************************************************                                              
271
        TRANSFER_CONTROL:
272 229 jguarin200
        process(clk,rst,master_waitrequest,sm,soutb_ae,soutb_usedw,spipeline_pending,soutb_e,zero,soutb_af,sfetch_data_pending,sreg_block,sslave_write,sslave_address,sslave_writedata,ssync_chain_pending,smaster_read,smaster_write,sdata_fetch_counter,sload_add_pending,swrite_pending,sdownload_chain)
273 211 jguarin200
        begin
274 202 jguarin200
 
275 211 jguarin200
                --! Conexi&oacuteln a se&ntilde;ales externas. 
276
                irq <= sreg_block(reg_ctrl)(reg_ctrl_irq);
277
                master_read <= smaster_read;
278
                master_write <= smaster_write;
279 202 jguarin200
 
280 217 jguarin200
                --! Direct Memory Access Selector.
281 150 jguarin200
 
282 217 jguarin200
 
283
 
284 211 jguarin200
                --! ZERO_TRANSIT: Cuando todos los elementos de sincronizaci&oacute;n est&aacute;n en cero menos la cola de sincronizaci&oacute;n de carga de parametros.
285
                sZeroTransit <= not(sload_add_pending or sfetch_data_pending or spipeline_pending or swrite_pending);
286 202 jguarin200
 
287 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION OUT QUEUE: Datos pendientes por cargar a la memoria a trav&eacute;s de la interconexi&oacute;n
288
                swrite_pending <= not(soutb_e);
289 202 jguarin200
 
290
 
291 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION DESCARGA DE DATOS: Hay datos pendientes por descargar desde la memoria a trav&eacute;s de la interconexi&oacute;n.
292 231 jguarin200
                if sdata_fetch_counter=zero(reg_nfetch_high downto 0) then
293 211 jguarin200
                        sfetch_data_pending <= '0';
294
                else
295
                        sfetch_data_pending <= '1';
296
                end if;
297
 
298
                --! ELEMENTO DE SINCRONIZACION CARGA DE DIRECCIONES: Hay direcciones pendientes por cargar a la interconexi&oacute;n?
299 231 jguarin200
                if sreg_block(reg_nfetch)(reg_nfetch_high downto 0)=zero(reg_nfetch_high downto 0) then
300 211 jguarin200
                        sload_add_pending <= '0';
301
                else
302
                        sload_add_pending <= '1';
303
                end if;
304 202 jguarin200
 
305 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION CARGA DE OPERANDOS: Se est&aacute;n cargando los operandos que ser&aacute;n operados en el pipeline aritm&eacute;tico.
306 219 jguarin200
                if sdownload_chain /= DWAX and sdownload_chain /= DWAXBX then
307 211 jguarin200
                        sparamload_pending <= '1';
308
                else
309
                        sparamload_pending <= '0';
310
                end if;
311
 
312
                --! Se debe iniciar una transacci&oacute;n de descarga de datos desde la memoria externa?
313
                if soutb_af='0' and sload_add_pending='1' then
314
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados continuar&aacute; si no est&aacute; tan llena y adem&aacute;s hay pendientes datos por ser descargados.
315
                        sflood_condition <= '1';
316
                else
317
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados debe parar porque est&aacute; cas&iacute; llena.       
318
                        sflood_condition <= '0';
319
                end if;
320 237 jguarin200
 
321
                if sreg_block(reg_nfetch)(reg_nfetch_high downto mb)/=zero(reg_nfetch_high downto mb) then
322 211 jguarin200
                        --! Flow Control: Si el n&uacute;mero de descargas pendientes es mayor o igual al max burst length, entonces cargar max burst en el contador.
323
                        sflood_burstcount <= '1'&zero(mb-1 downto 0);
324
                else
325
                        --! Flow Control: Si le n&uacute;mero de descargas pendientes es inferior a Max Burst Count entonces cargar los bits menos significativos del registro de descargas pendientes.
326 237 jguarin200
                        sflood_burstcount <= '0'&sreg_block(reg_nfetch)(mb-1 downto 0);
327 211 jguarin200
                end if;
328 202 jguarin200
 
329 211 jguarin200
                --! Se debe iniciar una transacci&oacute;n de carga de datos hacia la memoria externa?
330
                if soutb_ae='1' then
331
                        --! Flow Control : Cuando se est&eacute; drenando la cola de resultados, si la cola est&aacute; cas&iacute; vac&iaute;a, la longitud del burst ser&aacute;n los bits menos significativos del contador de la cola.  
332
                        sdrain_burstcount <= soutb_usedw(mb downto 0);
333
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola bajo y no hay datos transitando por el pipeline, ni datos pendientes por cargar desde la memoria.   
334
                        sdrain_condition <= not(sload_add_pending) and not(sfetch_data_pending) and not(spipeline_pending) and swrite_pending;
335
                else
336
                        --! Flow Control: Cuando se est&eacute; drenando la cola de resultados, si la cola de tiene una cantidad de datos mayor al burst count entonces se har&aacute; una transacci&oacute;n de longitud equivalente al burst count.
337
                        sdrain_burstcount <= '1'&zero(mb-1 downto 0);
338
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola es mayor o igual a 2**mb O si hay muy pocos datos y no hay datos transitando por el pipeline.   
339
                        sdrain_condition <= '1';
340
                end if;
341 202 jguarin200
 
342 211 jguarin200
                --! Restart param load chain
343
                srestart_chain <= sreg_block(reg_ctrl)(reg_ctrl_irq) and sreg_block(reg_ctrl)(reg_ctrl_rlsc);
344 202 jguarin200
 
345 217 jguarin200
                --! Data dumpster: Descaratar dato de upload una vez la interconexi&oacute;n haya enganchado el dato.
346 211 jguarin200
                if sm=SINK and master_waitrequest='0' and smaster_write='1' then
347
                        soutb_ack <= '1';
348
                else
349
                        soutb_ack <= '0';
350
                end if;
351 202 jguarin200
 
352 217 jguarin200
 
353
 
354 211 jguarin200
                --! Flow Control State Machine.
355
                if rst=rstMasterValue then
356
 
357
                        --! State Machine 
358
                        sm <= IDLE;
359
 
360
 
361
                        --! Master Write & Read Common Signals Reset Value
362
                        master_burstcount       <= (others => '0');
363
                        master_address          <= (others => '0');
364
                        sdata_fetch_counter     <= (others => '0');
365
                        sburstcount_sink        <= (others => '0');
366 150 jguarin200
 
367 211 jguarin200
                        --! Master Read Only Signals Reset Value
368
                        smaster_read            <= '0';
369
 
370
                        --! Master Write Only Signals
371
                        smaster_write           <= '0';
372
 
373
                        --! Reg Ctrl & Fetch address and writeaddress
374
                        --! Sinking address
375
                        sreg_block(reg_sinkstart) <= (others => '0');
376
                        --! Sourcing address
377
                        sreg_block(reg_fetchstart) <= (others => '0');
378
                        --! Control and Status Register
379
                        sreg_block(reg_ctrl) <= (others => '0');
380
                        --! Contador Overall
381
                        sreg_block(reg_inputcounter) <= (others => '0');
382
                        sreg_block(reg_outputcounter) <= (others => '0');
383 231 jguarin200
                        --! Address Fetch Counter 
384
                        sreg_block(reg_nfetch) <= (others => '0');
385 211 jguarin200
 
386
 
387
                elsif clk'event and clk='1' then
388 150 jguarin200
 
389 211 jguarin200
                        --! Nevermind the State, discount the incoming valid data counter.
390
                        sdata_fetch_counter <= sdata_fetch_counter-master_readdatavalid;
391
 
392
                        --! Debug Counter.
393
                        sreg_block(reg_inputcounter) <= sreg_block(reg_inputcounter) + master_readdatavalid;
394
                        sreg_block(reg_outputcounter) <= sreg_block(reg_outputcounter) + soutb_ack;
395 152 jguarin200
 
396 211 jguarin200
                        --! Flags
397
 
398
 
399
                        case sm is
400
                                when SOURCE =>
401
                                        --! ******************************************************************************************************************************************************                                              
402
                                        --! Flooding the pipeline ........
403
                                        --! ******************************************************************************************************************************************************                                              
404
                                        if smaster_read='0' then
405
                                                if sflood_condition = '1' then
406
                                                        --! Flow Control: Hay suficiente espacio en el buffer de salida y hay descargas pendientes por hacer
407
                                                        smaster_read <= '1';
408
                                                        master_address <= sreg_block(reg_fetchstart);
409
                                                        master_burstcount <= sflood_burstcount;
410
                                                        sdata_fetch_counter <= sdata_fetch_counter+sflood_burstcount-master_readdatavalid;
411
                                                        --! Context Saving:
412
                                                        sreg_block(reg_fetchstart) <= sreg_block(reg_fetchstart) + (sflood_burstcount&"00");
413 231 jguarin200
                                                        sreg_block(reg_nfetch)(reg_nfetch_high downto 0) <= sreg_block(reg_nfetch)(reg_nfetch_high downto 0) - sflood_burstcount;
414 211 jguarin200
                                                else
415
                                                        --! Flow Control : Cambiar al estado SINK, porque o est&aacute; muy llena la cola de salida o no hay descargas pendientes por realizar.
416
                                                        sm <= SINK;
417
                                                end if;
418
                                        else --master_read=1;
419
                                                if master_waitrequest='0' then
420
                                                        --! Las direcciones de lectura est&aacute;n cargadas. Terminar la transferencia.
421
                                                        smaster_read <= '0';
422
                                                end if;
423
                                        end if;
424
                                when SINK =>
425
 
426
                                        --! ******************************************************************************************************************************************************                                              
427
                                        --! Draining the pipeline ........
428
                                        --! ******************************************************************************************************************************************************                                              
429
                                        if smaster_write='0' then
430
 
431
                                                if sdrain_condition='1' then
432
                                                        --! Flow Control : Hay muchos datos aun en la cola de resultados &Oacute; la cola de resultados est&aacute; cas&iacute; vac&iacute;a y no hay datos transitando en el pipeline aritm&eetico.
433
                                                        smaster_write <= '1';
434
                                                        master_address <= sreg_block(reg_sinkstart);
435
                                                        master_burstcount <= sdrain_burstcount;
436 150 jguarin200
 
437 211 jguarin200
                                                        --!Context Saving
438
                                                        sreg_block(reg_sinkstart) <= sreg_block(reg_sinkstart) + (sdrain_burstcount&"00");
439
                                                        sburstcount_sink <= sdrain_burstcount-1;
440
                                                else
441
                                                        --! Flow Control: Son muy pocos los datos que hay en el buffer de salida y existen aun datos transitando en el resto del pipe ir al estado SOURCE.
442
                                                        if sZeroTransit='1' then
443
 
444
                                                                --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
445
                                                                sm <= IDLE;
446
                                                                sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
447
                                                                sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
448 217 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
449 211 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
450 229 jguarin200
 
451 211 jguarin200
                                                        else
452
 
453
                                                                --! Flow Control: Cambiar a Source porque aun hay elementos transitando.
454
                                                                sm <= SOURCE;
455
                                                        end if;
456
 
457
                                                end if;
458
                                        else --!smaster_write=1 
459
                                                if master_waitrequest = '0' then
460
 
461
                                                        --! Descartar datos : revisar antes de este proceso secuencial la parte combinatoria (Data Dumpster).
462
 
463
 
464
                                                        if sburstcount_sink/=zero(mb downto 0) then
465
 
466
                                                                --! Datos pendientes por transmitir aun en el burst. Restar uno 
467
                                                                sburstcount_sink <= sburstcount_sink-1;
468
                                                        else
469
 
470
                                                                --! No escribir mas. Finalizar la transmisi&oacute;n
471
                                                                smaster_write <= '0';
472
 
473
                                                                --! Si no hay transito de dato se con terminada la instrucci&oacute;n siempre que el estado de control de flujo est&eacute; sidera  
474
                                                                if sZeroTransit='1' then
475
 
476
                                                                        --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
477
                                                                        sm <= IDLE;
478
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
479
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
480 217 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
481 211 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
482
 
483
                                                                end if;
484
                                                        end if;
485
                                                end if;
486
                                        end if;
487
 
488 237 jguarin200
                                when IDLE =>
489
 
490 211 jguarin200
                                        --! ******************************************************************************************************************************************************                                              
491
                                        --! Programming the pipeline
492
                                        --! ******************************************************************************************************************************************************                                              
493
                                        --! El registro de control en sus campos fetch e irq, es escribile solo cuando estamos en estado IDLE.           
494
                                        if sslave_write='1' then
495
                                                case sslave_address is
496
                                                        when x"0" =>
497
                                                                --! Solo se permitira escribir en el registro de control si no hay una interrupci&oacute;n activa o si la hay solamente si se esta intentando desactivar la interrupci&acute;n 
498
                                                                if sreg_block(reg_ctrl)(reg_ctrl_irq)='0' or sslave_writedata(reg_ctrl_irq)='0' then
499 237 jguarin200
                                                                        sreg_block(reg_ctrl)<= sslave_writedata;
500 211 jguarin200
                                                                end if;
501 231 jguarin200
                                                        when x"5" => sreg_block(reg_nfetch) <= sslave_writedata;
502 211 jguarin200
                                                        when x"6" => sreg_block(reg_outputcounter) <= sslave_writedata;
503
                                                        when x"7" => sreg_block(reg_inputcounter) <= sslave_writedata;
504
                                                        when x"8" => sreg_block(reg_fetchstart) <= sslave_writedata;
505
                                                        when x"9" => sreg_block(reg_sinkstart) <= sslave_writedata;
506
                                                        when others => null;
507
                                                end case;
508
                                        else
509
 
510
                                                if sZeroTransit='0' then
511
 
512
 
513
                                                        --! Flow Control: Existe un n&uacute;mero de descargas programadas por el sistema, comenzar a realizarlas.
514
                                                        --! Ir al estado Source.
515
                                                        sm <= SOURCE;
516
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '1';
517 219 jguarin200
 
518
                                                else
519
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
520
 
521 211 jguarin200
                                                end if;
522
                                        end if;
523
                        end case;
524
                end if;
525
        end process;
526
--! ******************************************************************************************************************************************************                                              
527
--! FLOW CONTROL RTL CODE
528
--! ******************************************************************************************************************************************************                                              
529 229 jguarin200
--! buffer de salida
530 211 jguarin200
--! ******************************************************************************************************************************************************                                              
531
        output_buffer:scfifo
532
        generic map (almost_empty_value => 2**mb,almost_full_value => (2**fd)-52, lpm_widthu => fd, lpm_numwords => 2**fd, lpm_showahead => "ON", lpm_width => 32, overflow_checking => "ON", underflow_checking => "ON", use_eab => "ON")
533
        port map        (empty => soutb_e, aclr => '0', clock => clk, rdreq      => soutb_ack, wrreq     => soutb_w,     q => master_writedata, usedw    => soutb_usedw, almost_full => soutb_af, almost_empty => soutb_ae, data => soutb_d);
534
--! ******************************************************************************************************************************************************                                              
535
--! PROCESO DE CONTROL DE FLUJO ENTRE EL BUFFER DE RESULTADOS Y EL BUFFER DE SALIDA
536
--! ******************************************************************************************************************************************************                                              
537
 
538
        FLOW_CONTROL_OUTPUT_STAGE:
539 229 jguarin200
        process (clk,rst,master_readdata, master_readdatavalid,sr_e,sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc),sm,supload_chain,zero,ssync_chain_pending,supload_start)
540 211 jguarin200
        begin
541
 
542
 
543
                --! Compute initial State.
544
 
545
                --! Escribir en el output buffer.
546 217 jguarin200
                if supload_chain=DMA then
547
                        --! Modo DMA escribir los datos de entrada directamente en el buffer.
548
                        soutb_w <= master_readdatavalid;
549
                else
550
                        --!Modo Arithmetic Pipeline 
551 229 jguarin200
                        soutb_w <= not(sr_e);
552 217 jguarin200
                end if;
553 211 jguarin200
 
554
                --! Control de lectura de la cola de resultados.
555 229 jguarin200
                if sr_e='0' then
556 211 jguarin200
                        --!Hay datos en la cola de resultados.
557 219 jguarin200
                        if (supload_chain=UPVZ and sreg_block(reg_ctrl)(reg_ctrl_sc)='0') or supload_chain=SC then
558 211 jguarin200
                                --!Se transfiere el ultimo componente vectorial y no se estan cargando resultados escalares.
559 229 jguarin200
                                sr_ack <= '1';
560 219 jguarin200
                        else
561 229 jguarin200
                                sr_ack <= '0';
562 211 jguarin200
                        end if;
563
                else
564 229 jguarin200
                        sr_ack <= '0';
565 211 jguarin200
                end if;
566
 
567 217 jguarin200
 
568 211 jguarin200
                --! Decodificar que salida de la cola de resultados se conecta a la entrada del otput buffer
569 217 jguarin200
                --! DMA Path Control: Si se encuentra habilitado el modo dma entonces conectar la entrada del buffer de salida a la interconexi&oacute;n
570 211 jguarin200
                case supload_chain is
571 219 jguarin200
                        when UPVX =>
572 229 jguarin200
                                soutb_d <= svx;
573 219 jguarin200
                        when UPVY =>
574 229 jguarin200
                                soutb_d <= svy;
575 219 jguarin200
                        when UPVZ =>
576 229 jguarin200
                                soutb_d <= svz;
577 211 jguarin200
                        when SC =>
578 229 jguarin200
                                soutb_d <= ssc;
579 217 jguarin200
                        when DMA =>
580
                                soutb_d <= master_readdata;
581 211 jguarin200
                end case;
582
 
583
 
584
                case sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc) is
585
                        when "01" =>
586
                                supload_start <= SC;
587
                        when others =>
588 219 jguarin200
                                supload_start <= UPVX;
589 211 jguarin200
                end case;
590
 
591
 
592
                --! M&aacute;quina de estados para el width adaptation RES(128) -> OUTPUTBUFFER(32).    
593
                if rst=rstMasterValue then
594 219 jguarin200
                        supload_chain <= UPVX;
595 217 jguarin200
                elsif clk'event and clk='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
596
                        --! Modo de operaci&oacute;n normal.
597 211 jguarin200
                        case supload_chain is
598 219 jguarin200
                                when UPVX =>
599 229 jguarin200
                                        if sr_e='1' then
600 211 jguarin200
                                                supload_chain <= supload_start;
601
                                        else
602 219 jguarin200
                                                supload_chain <= UPVY;
603 211 jguarin200
                                        end if;
604 219 jguarin200
                                when UPVY =>
605
                                        supload_chain <= UPVZ;
606
                                when UPVZ =>
607 211 jguarin200
                                        if sreg_block(reg_ctrl)(reg_ctrl_sc)='0' then
608 219 jguarin200
                                                supload_chain <= UPVX;
609 211 jguarin200
                                        else
610
                                                supload_chain <= SC;
611
                                        end if;
612 217 jguarin200
                                when SC|DMA =>
613 211 jguarin200
                                        supload_chain <= supload_start;
614 217 jguarin200
 
615 211 jguarin200
                        end case;
616 217 jguarin200
 
617
                elsif clk'event and clk='1' then
618
                        --! Modo DMA
619
                        supload_chain <= DMA;
620 211 jguarin200
                end if;
621
 
622
 
623
        end process;
624
--! ******************************************************************************************************************************************************                                              
625
--! PROCESO DE CONTROL DE FLUJO ENTRE LA ENTRADA DESDE LA INTERCONEXI&OACUTE;N Y LOS PARAMETROS DE ENTRADA EN EL PIPELINE ARITMETICO
626
--! ******************************************************************************************************************************************************                                              
627
        FLOW_CONTROL_INPUT_STAGE:
628 217 jguarin200
        process(clk,rst,master_readdatavalid,master_readdata,sreg_block(reg_ctrl)(reg_ctrl_dma downto reg_ctrl_s),sslave_write,sslave_address,supload_chain)
629 211 jguarin200
        begin
630
                --! Est&aacute; ocurriendo un evento de transici&oacute;n del estado TX al estado FETCH: Programar el enganche de par&aacute;metros que vienen de la interconexi&oacute;n.
631 219 jguarin200
                --! Mirar como es la carga inicial. Si es Normalizacion o Magnitud (dcs=110) entonces cargar DWAXBX de lo contrario solo DWAX.
632 211 jguarin200
                case sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s) is
633 221 jguarin200
                        when "110"      =>      sdownload_start <= DWAXBX;
634
                        when others     =>      sdownload_start <= DWAX;
635 211 jguarin200
                end case;
636
                if rst=rstMasterValue then
637
                        ssync_chain_1 <= '0';
638 219 jguarin200
                        sdownload_chain <= DWAX;
639 211 jguarin200
                        for i in reg_bz downto reg_ax loop
640
                                sreg_block(i) <= (others => '0');
641
                        end loop;
642
                elsif clk'event and clk='1' then
643
                        ssync_chain_1   <= '0';
644 217 jguarin200
                        if master_readdatavalid='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
645 211 jguarin200
                                --! El dato en la interconexi&oacute;n es valido, se debe enganchar. 
646
                                case sdownload_chain is
647 219 jguarin200
                                        when DWAX | DWAXBX  =>
648 211 jguarin200
                                                --! Cargar el operando correspondiente al componente "X" del vector "A" 
649
                                                ssync_chain_1 <= '0';
650
                                                sreg_block(reg_ax) <= master_readdata;
651 219 jguarin200
                                                if sdownload_start = DWAXBX then
652 211 jguarin200
                                                        --! Operaci&oacute;n Unaria por ejemplo magnitud de un vector
653
                                                        --! Escribir en el registro bx adicionalmente. 
654
                                                        sreg_block(reg_bx) <= master_readdata;
655
                                                        --! El siguiente estado es cargar el componente "Y" de del operando a ejecutar. 
656 219 jguarin200
                                                        sdownload_chain <= DWAYBY;
657 211 jguarin200
                                                else
658
                                                        --! Operaci&oacute;n de dos operandos. Por ejemplo Producto Cruz.
659
                                                        --! El siguiente estado es cargar el vector "Y" del operando "A".
660 219 jguarin200
                                                        sdownload_chain <= DWAY;
661 211 jguarin200
                                                end if;
662 219 jguarin200
                                        when DWAY | DWAYBY =>
663 211 jguarin200
                                                sreg_block(reg_ay) <= master_readdata;
664
                                                ssync_chain_1 <= '0';
665 219 jguarin200
                                                if sdownload_chain = DWAYBY then
666 211 jguarin200
                                                        sreg_block(reg_by) <= master_readdata;
667 219 jguarin200
                                                        sdownload_chain <= DWAZBZ;
668 211 jguarin200
                                                else
669 219 jguarin200
                                                        sdownload_chain <= DWAZ;
670 211 jguarin200
                                                end if;
671 219 jguarin200
                                        when DWAZ  | DWAZBZ =>
672 211 jguarin200
                                                sreg_block(reg_az) <= master_readdata;
673 219 jguarin200
                                                if sdownload_chain=DWAZBZ then
674 211 jguarin200
                                                        ssync_chain_1 <= '1';
675
                                                        sreg_block(reg_bz) <= master_readdata;
676 219 jguarin200
                                                        sdownload_chain <= DWAXBX;
677 211 jguarin200
                                                else
678
                                                        ssync_chain_1 <= '0';
679 219 jguarin200
                                                        sdownload_chain <= DWBX;
680 211 jguarin200
                                                end if;
681 219 jguarin200
                                        when DWBX  =>
682 211 jguarin200
                                                ssync_chain_1 <= '0';
683
                                                sreg_block(reg_bx) <= master_readdata;
684 219 jguarin200
                                                sdownload_chain <= DWBY;
685
                                        when DWBY =>
686 211 jguarin200
                                                ssync_chain_1 <= '0';
687
                                                sreg_block(reg_by) <= master_readdata;
688 219 jguarin200
                                                sdownload_chain <= DWBZ;
689
                                        when DWBZ =>
690 211 jguarin200
                                                sreg_block(reg_bz) <= master_readdata;
691
                                                ssync_chain_1 <= '1';
692
                                                if sreg_block(reg_ctrl)(reg_ctrl_cmb)='1' then
693 219 jguarin200
                                                        sdownload_chain <= DWBX;
694 211 jguarin200
                                                else
695 219 jguarin200
                                                        sdownload_chain <= DWAX;
696 211 jguarin200
                                                end if;
697
                                        when others =>
698
                                                null;
699
                                end case;
700
 
701
                                if srestart_chain='1' then
702
                                        sdownload_chain <= sdownload_start;
703
                                end if;
704
 
705
                        end if;
706
                end if;
707
        end process;
708
--! *************************************************************************************************************************************************************************************************************************************************************
709
--! AVALON MEMORY MAPPED MASTER FINISHED
710
--! *************************************************************************************************************************************************************************************************************************************************************
711
--! *************************************************************************************************************************************************************************************************************************************************************
712
--! AVALON MEMORY MAPPED SLAVE BEGINS =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>
713
--! *************************************************************************************************************************************************************************************************************************************************************
714
        --! Master Slave Process: Proceso para la escritura y lectura de registros desde el NIOS II.
715
        low_register_bank:
716 229 jguarin200
        process (clk,rst,sreg_block,soutb_w,supload_chain)
717 211 jguarin200
        begin
718
                if rst=rstMasterValue then
719 231 jguarin200
                        for i in reg_scalar downto reg_vz loop
720 211 jguarin200
                                sreg_block(i) <= (others => '0');
721
                        end loop;
722
 
723
                        slave_readdata <= (others => '0');
724
                        sslave_address <= (others => '0');
725
                        sslave_writedata <= (others => '0');
726
                        sslave_write <= '0';
727
                        sslave_read <= '0';
728
                elsif clk'event and clk='1' then
729
 
730
 
731
                        sslave_address          <= slave_address;
732
                        sslave_write            <= slave_write;
733
                        sslave_read                     <= slave_read;
734
                        sslave_writedata        <= slave_writedata;
735 229 jguarin200
 
736 243 jguarin200
                        sreg_block(reg_vz) <= sp0;
737
                        sreg_block(reg_vy) <= sp1;
738
                        sreg_block(reg_vx) <= sp2;
739
                        for i in reg_scalar downto reg_scalar loop
740 211 jguarin200
                                if sslave_address=i then
741
                                        if sslave_write='1' then
742
                                                sreg_block(i) <= sslave_writedata;
743
                                        end if;
744
                                end if;
745
                        end loop;
746
                        for i in 15 downto 0 loop
747
                                if sslave_address=i then
748
                                        if sslave_read='1' then
749
                                                slave_readdata <= sreg_block(i);
750
                                        end if;
751
                                end if;
752
                        end loop;
753
                end if;
754
        end process;
755 243 jguarin200
 
756 211 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
757
--! AVALON MEMORY MAPPED SLAVE FINISHED
758
--! *************************************************************************************************************************************************************************************************************************************************************
759
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
760 217 jguarin200
        --! Control Register (reg_ctrl) BASE_ADDRESS + 0x0                                                                                                                                                                                              |
761 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
762
        --! Bit No.     | Nombre        | Descripci&oacute;n                                                                                                                                                                                            |
763
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
764 217 jguarin200
        --! 0           | cmb (rw)      | 1:    La operaci&oacute;n es combinatoria, por lo tanto cargan los primeros 3 valores en el Operando A y el           |
765
        --!                     |                       |               de vectores en el operando B.                                                                                                                                                           |
766 211 jguarin200
        --!                     |                       | 0:    La operaci&oacute;n no es combinatoria, se cargan vectores en los operandos A y B.                                                      |
767
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
768
        --!                     |                       |               Configuraci&oacute;n del Datapath, Interconexi&oacute;n del Pipeline Aritm&eacute;tico y Cadena de Carga        |
769
        --!                     |                       |               Dependiendo del valor de estos 3 bits se configura la operaci&oacute;n a ejecutar.                                                      |
770
        --!                     |                       |                                                                                                                                                                                                                                       |
771
        --! [3:1]       | dcs (rw)      | 011:  Producto Cruz                                                                                                                                                                                           |
772
        --!                     |                       | 000:  Suma Vectorial                                                                                                                                                                                          |
773
        --!                     |                       | 001:  Resta Vectorial                                                                                                                                                                                         |
774
        --!                     |                       | 110:  Normalizaci&oacute;n Vectorial y c&aacute;lculo de Magnitud Vectorial                                                                           |
775
        --!                     |                       | 100:  Producto Punto                                                                                                                                                                                          |
776
        --!                     |                       | 111:  Producto Simple                                                                                                                                                                                         |
777
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
778
        --! [5:4]       | vtsc (rw)     | 00:   Solo leer los resultados vectoriales.                                                                                                                                           |
779
        --!                     |                       | 01:   Solo leer los resultados escalares.                                                                                                                                                     |
780
        --!                     |                       | 10:   Solo leer los resultados vectoriales.                                                                                                                                           |
781
        --!                     |                       | 11:   Leer los resultados escalares y vectoriales.                                                                                                                            |
782
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
783 217 jguarin200
        --! 6           | dma (rw)      |  1:   Modo DMA: Los datos que ingresan se leen desde la direcci&oacute;n FETCHSTART (BASE+0x08) y se escriben en  |
784
        --!                     |                       |               la direcci&oacute;n SINKSTART (BASE+0x09).                                                                                                                                      |
785
        --!                     |                       |  0:   Modo Arithmetic Pipeline: Los datos ingresan en grupos de a 6 valores para 2 vectores de 3 valores cada uno,|
786
        --!                     |                       |               cuando se usa en modo uno a uno (cmb=1), &oacute; en grupos de 3 valores para 1 vector de 3 valores,            |
787
        --!                     |                       |               pero con el operando A fijado con el valor de la primera carga de valores en modo combinatorio (cmb=1).         |
788
        --!                     |                       |               De la misma manera que en modo DMA se cargan los operandos en la direcci&oacute;n FETCHSTART y se escriben      |
789
        --!                     |                       |               los resultados en la direcci&oacute;n SINKSTART.                                                                                                                        |
790
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
791
        --! 7           | flag_fc(r)|  1:       Al momento de generar una interrupci&oacute;n este bit se coloca en 1 si se cumplen las condiciones de          |
792
        --!                     |                       |               descarga de datos de la memoria (revisar el net signal sflood_condition). Si se encuentra en uno se                     |
793
        --!                     |                       |               tratar&iacute;a de una inconsistencia puesto que la interrupci&oacute;n se dispara una vez se ha terminado      |
794
        --!             |                       |               de ejecutar una instrucci&oacute;n y el que la bandera este en uno significa que hay transacciones de           |       
795
        --!                     |                       |               descarga de datos desde la memoria pendientes.                                                                                                                          |
796
        --!                     |                       |                                                                                                                                                                                                                                       |
797
        --!                     |                       |               En general que cualquiera de estas banderas se coloque en uno es una se&ntilde;alizacion de error, puesto       |
798
        --!                     |                       |               que una vez se ha terminado de ejecutar una instrucci&oacute;n no deben haber transacciones pendientes.         |
799
        --!                     |                       |               La raz&oacute;n de ser de estas banderas es hacer depuraci&oacute;n del hardware mas que del software.          |
800
        --!                     |                       |                                                                                                                                                                                                                                       |
801
        --!                     |                       |  0:   Flood Condition off.                                                                                                                                                                            |
802
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
803
        --! 8           | flag_dc(r)|  1:       Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |
804
        --!                     |                       |  0:   Drain Condition off.                                                                                                                                                                            |
805
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
806
        --! 9           | wp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |                                                                                                                                                                                       
807
        --!                     |                       |  0:   Write on Memory not pending.                                                                                                                                                            |
808
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
809
        --! 10          | pp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute;n y hay datos transitando el pipeline aritm&eacute;tico.       |
810
        --!                     |                       |  0:   Pipeline not pending.                                                                                                                                                                           |
811
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
812
        --! 11          | pl(r)         |  1:   La carga de parametros no se complet&oacute;. Esto por lo general pasa cuando uno va a realizar una                     |
813
        --!             |                       |               operaci&acute;n combinatoria y solo cargo el primer operando, el A, esto puede ocurrir porque por ejemplo       |
814
        --!                     |                       |               se puede desear sumar un conjunto de vectores a un vector de referencia. Este vector de referencia puede        |
815
        --!                     |                       |               estar en un area de memoria distinta, que el resto de los vectores. Por lo tanto el pseudo codigo para          |
816
        --!                     |                       |               ejecutar una operaci&oacute;n de este tipo seria:                                                                                                                       |
817
        --!                     |                       |                                                                                                                                                                                                                                       |       
818
        --!                     |                       |               ld vect,add,cmb;        //Resultados solo vectoriales, ejecutar operaci&oacute;n suma en modo combinatorio              |
819
        --!                     |                       |               ld &A;                          //Cargar la direccion del Vector A.                                                                                                             |
820
        --!                     |                       |               ld 3;                           //Cargar 3 valores, o sea el Vector A.                                                                                                  | 
821
        --!                     |                       |               wait int;                       //Esperar a que se ejecute la interrupcion. Una vez se termine de ejecutar si la bandera|
822
        --!                     |                       |                                                       //pl est&aacute; en uno se vuelve a comenzar y se deshecha el dato que hay como                 |
823
        --!                     |                       |                                                       //par&aacute;metro.     Para este ejemplo se asume que est&aacute en uno                                        |
824
        --!                     |                       |               ld &B;                          //Cargar la direcci&oacute;n donde se encuentran los vectores B                                                 |
825
        --!                     |                       |               ld &C;                          //Cargar la direcci&oacute;n donde se exribiran los resultados.                                                 |
826
        --!                     |                       |               ld 24;                          //Cargar los siguientes 24 valores a partir de &B correspondiente a 8 vectores                  |
827
        --!                     |                       |                                                       //ejecutando 8 sumas vectoriales que se escribir&iacute;n a apartir de &C                               |
828
        --!                     |                       |               wait int;                       //Esperar a que termine la ejecuci&oacute;n de las sumas.                                                               |
829
        --!                     |                       |                                                                                                                                                                                                                                       |
830
        --!                     |                       |  0:   Los operandos se cargaron integros se cargo del todo y no hubo que desechar parametros.                                         |
831
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
832
        --! 12          | dp (r)        |  1:   Error, la instrucci&oacute;n se termino y aun hay datos pendientes por ser descargados                                          |
833
        --!                     |                       |  0:   No hay datos pendientes por ser descargados.                                                                                                                            |
834
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
835
        --! 13          | ap (r)        |  1:   Carga de direcciones en la interconexi&oacute;n a&uacute;n est&aacute; pendiente y la instrucci&oacute; ya      |
836
        --!                     |                       |               se ejecut&oacute;                                                                                                                                                                                       |
837
        --!                     |                       |  0:   No hay direcciones pendientes por cargar.                                                                                                                                       |
838
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
839 211 jguarin200
        --! 14          | rlsc (rw)     | 1:    El sistema est&aacute; configurado para resetear la recarga sincronizada de par&aacute;metros una vez           |
840
        --!                     |                       |               concluya la instrucci&oacute;n                                                                                                                                                          |
841
        --!                     |                       |                                                                                                                                                                                                                                       |
842 217 jguarin200
        --!                     |                       | 0:    El sistema est&aacute; configurado para no resetear la cadena de sincronizaci&oacute;n de carga.                        |
843 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
844 217 jguarin200
        --! 15          | rom (r)       | 1: Los registros solo se pueden leer no se pueden escribir. Etsado SINK y SOURCE                                                                      |
845 211 jguarin200
        --!                     |                       | 0: Los registros se pueden leer y escribir.                                                                                                                                           |
846
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
847
        --! [30:16]     | nfetch(rw)| Cantidad de direcciones a cargar en la interconex&oacute;n para realizar la posterior descarga de datos de la     |
848
        --!                     |                       | memoria al RayTrac.
849
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
850
        --!     31              | irq           | 1:    Evento de interrupci&oacute;n. El usuario debe hacer clear de este bit para dar la interrupci&o;n por           |
851
        --!                     |                       |               por atendida. Este bit se pone en uno cuando el sistema pasa de estado TX a FETCH o FETCH a TX.                         |
852
        --!                     |                       |                                                                                                                                                                                                                                       |
853
        --!                     |                       | 0:    El RayTrac se encuentra en operaci&oacute;n Normal.                                                                                                                     |
854
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
855 217 jguarin200
        --! Result Vector Z component (reg_vz)  BASE_ADDRESS + 0x4                                                                                                                                                                      |
856 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
857 217 jguarin200
        --! Result Vector Y component (reg_vy) BASE_ADDRESS + 0x8                                                                                                                                                                       |
858 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
859 217 jguarin200
        --! Result Vector X component (reg_vx) BASE_ADDRESS + 0xC                                                                                                                                                                       |
860 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
861 217 jguarin200
        --! Result Vector Scalar component (reg_scalar) BASE_ADDRESS + 0x10                                                                                                                                                     |
862 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
863 231 jguarin200
        --! Scratch Vector 00   (reg_nfetch) BASE_ADDRESS +     0x14                                                                                                                                                            |
864 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
865 217 jguarin200
        --! output Data Counter (reg_outputcounter) BASE_ADDRESS + 0x18                                                                                                                                                         |
866 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
867 217 jguarin200
        --! Input Data Counter  (reg_inputcounter) BASE_ADDRESS + 0x1C                                                                                                                                                          |
868
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
869
        --! Data Fetch Start Address (reg_fetchstart) BASE_ADDRESS + 0x20                                                                                                                                                       |
870
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
871
        --! Data Write Start Address (reg_sinkstart) BASE_ADDRESS + 0x24                                                                                                                                                        |
872
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
873 219 jguarin200
        --! Parameter AX component (reg_ax) BASE_ADDRESS + 0x28                                                                                                                                                                         |
874 217 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
875
        --! Parameter Ay component (reg_ay) BASE_ADDRESS + 0x2C                                                                                                                                                                         |
876
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
877
        --! Parameter Az component (reg_az) BASE_ADDRESS + 0x30                                                                                                                                                                         |
878
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
879
        --! Parameter Bx component (reg_bx) BASE_ADDRESS + 0x34                                                                                                                                                                         |
880
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
881
        --! Parameter By component (reg_by) BASE_ADDRESS + 0x38                                                                                                                                                                         |
882
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
883
        --! Parameter Bz component (reg_bz) BASE_ADDRESS + 0x3C                                                                                                                                                                         |
884
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|   
885
 
886
 
887
 
888
 
889
 
890
 
891
end architecture;
892
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.