OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Blame information for rev 248

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 219 jguarin200
--! @file raytrac.vhd
2
--! @brief Sistema de Procesamiento Vectorial. La interface es compatible con el bus Avalon de Altera.  
3
--! @author Julián Andrés Guarín Reyes
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- raytrac.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23 150 jguarin200
library ieee;
24
use ieee.std_logic_1164.all;
25 211 jguarin200
use ieee.std_logic_unsigned.all;
26 219 jguarin200
use work.arithpack.all;
27 150 jguarin200
 
28 211 jguarin200
library altera_mf;
29
use altera_mf.altera_mf_components.all;
30
 
31
library lpm;
32
use lpm.lpm_components.all;
33
 
34
 
35 217 jguarin200
entity raytrac is
36 211 jguarin200
        generic (
37
                wd      :       integer := 32;
38
                fd      :       integer := 8;   --! Result Fifo Depth = 2**fd =256
39 219 jguarin200
                mb      :       integer := 4    --! Max Burst Length = 2**mb            
40 211 jguarin200
        );
41 150 jguarin200
        port (
42 211 jguarin200
                clk:    in std_logic;
43
                rst:    in std_logic;
44 150 jguarin200
 
45 211 jguarin200
                --! Avalon MM Slave
46 234 jguarin200
                slave_address                           :       in      std_logic_vector(3 downto 0);
47 211 jguarin200
                slave_read                              :       in      std_logic;
48
                slave_write                             :       in      std_logic;
49 246 jguarin200
                slave_readdata                  :       out     std_logic_vector(31 downto 0);
50 211 jguarin200
                slave_writedata                 :       in      std_logic_vector(31 downto 0);
51
 
52
                --! Avalon MM Master (Read & Write common signals)      
53 246 jguarin200
                master_address                  :       out     std_logic_vector(31 downto 0);
54
                master_burstcount                       :       out     std_logic_vector(4 downto 0);
55 234 jguarin200
                master_waitrequest                      :       in      std_logic;
56 150 jguarin200
 
57 211 jguarin200
                --! Avalon MM Master (Read Stage)
58
                master_read                             :       out     std_logic;
59
                master_readdata                 :       in      std_logic_vector(31 downto 0);
60 234 jguarin200
                master_readdatavalid            :       in      std_logic;
61 202 jguarin200
 
62 211 jguarin200
                --! Avalon MM Master (Write Stage)
63
                master_write                    :       out     std_logic;
64
                master_writedata                :       out std_logic_vector(31 downto 0);
65 150 jguarin200
 
66 211 jguarin200
                --! Avalon IRQ
67
                irq                                             :       out std_logic
68 150 jguarin200
 
69 211 jguarin200
 
70
 
71 150 jguarin200
        );
72
end entity;
73
 
74
 
75 217 jguarin200
architecture raytrac_arch of raytrac is
76 211 jguarin200
 
77 229 jguarin200
 
78 211 jguarin200
        --! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html .... 
79 230 jguarin200
        attribute altera_attribute : string;
80
        attribute altera_attribute of raytrac_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
81 161 jguarin200
 
82 211 jguarin200
 
83 219 jguarin200
        type    registerblock   is array (15 downto 0) of xfloat32;
84 211 jguarin200
        type    transferState   is (IDLE,SINK,SOURCE);
85 231 jguarin200
        type upload_chain       is (UPVX,UPVY,UPVZ,SC,DMA);
86 219 jguarin200
        type    download_chain  is (DWAX,DWAY,DWAZ,DWBX,DWBY,DWBZ,DWAXBX,DWAYBY,DWAZBZ);
87 202 jguarin200
 
88 211 jguarin200
        constant reg_ctrl                               :       integer:=00;
89 231 jguarin200
        constant reg_vz                         :       integer:=01;
90
        constant reg_vy                         :       integer:=02;
91
        constant reg_vx                         :       integer:=03;
92
        constant reg_scalar                     :       integer:=04;
93
        constant reg_nfetch                     :       integer:=05;
94 211 jguarin200
        constant reg_outputcounter              :       integer:=06;
95
        constant reg_inputcounter               :       integer:=07;
96
        constant reg_fetchstart                 :       integer:=08;
97
        constant reg_sinkstart                  :       integer:=09;
98 231 jguarin200
        constant reg_ax                         :       integer:=10;
99
        constant reg_ay                         :       integer:=11;
100
        constant reg_az                         :       integer:=12;
101
        constant reg_bx                         :       integer:=13;
102
        constant reg_by                         :       integer:=14;
103
        constant reg_bz                         :       integer:=15;
104 211 jguarin200
 
105
 
106 172 jguarin200
 
107 211 jguarin200
        constant reg_ctrl_cmb                   :       integer:=00;    --! CMB bit : Combinatorial Instruction.
108 231 jguarin200
        constant reg_ctrl_s                     :       integer:=01;    --! S bit of the DCS field.
109
        constant reg_ctrl_c                     :       integer:=02;    --! C bit of the DCS field.
110
        constant reg_ctrl_d                     :       integer:=03;    --! D bit of the DCS field.
111 202 jguarin200
 
112 211 jguarin200
        constant reg_ctrl_sc                    :       integer:=04;    --! SC bit of the VTSC field.
113
        constant reg_ctrl_vt                    :       integer:=05;    --! VT bit of the VTSC field.
114 217 jguarin200
        constant reg_ctrl_dma                   :       integer:=06;    --! DMA bit.
115 211 jguarin200
        constant reg_ctrl_flags_fc              :       integer:=07;    --! Flood Condition Flag.
116 202 jguarin200
 
117 211 jguarin200
        constant reg_ctrl_flags_dc              :       integer:=08;    --! Drain Condition Flag.       
118
        constant reg_ctrl_flags_wp              :       integer:=09;    --! Write on Memory Pending Flag.
119
        constant reg_ctrl_flags_pp              :       integer:=10;    --! Pipeline Pending Flag.
120
        constant reg_ctrl_flags_pl              :       integer:=11;    --! Load Parameter Pending Flag.
121 202 jguarin200
 
122 211 jguarin200
        constant reg_ctrl_flags_dp              :       integer:=12;    --! Data Pending flag.
123
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
124
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
125
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
126 202 jguarin200
 
127 229 jguarin200
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
128 246 jguarin200
        constant reg_ctrl_ageb                  :       integer:=17;    --! A>=B.
129
        constant reg_ctrl_aeb                   :       integer:=18;    --! A==B.
130
        constant reg_ctrl_aneb                  :       integer:=19;    --! A!=B.
131
 
132
        constant reg_ctrl_accum_op              :       integer:=20;    --! Acummulative Addition/Sub. User must write in the high word of nfetch how many time should be executed the addition/sub.
133
 
134 211 jguarin200
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
135 231 jguarin200
 
136
        --! Nfetch Reg Mask
137
        constant reg_nfetch_high        :       integer:=11;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
138 211 jguarin200
 
139
 
140
        --! Avalon MM Slave
141 229 jguarin200
 
142 211 jguarin200
        signal  sreg_block                      :       registerblock;
143
        signal  sslave_read                     :       std_logic;
144
        signal  sslave_write            :       std_logic;
145 219 jguarin200
        signal  sslave_writedata        :       std_logic_vector (wd-1 downto 0);
146
        signal  sslave_address          :       std_logic_vector (3 downto 0);
147 211 jguarin200
        signal  sslave_waitrequest      :       std_logic;
148 217 jguarin200
 
149 211 jguarin200
        --! Avalon MM Master
150
        signal  smaster_write           :       std_logic;
151
        signal  smaster_read            :       std_logic;
152 202 jguarin200
 
153 211 jguarin200
        --! State Machine and event signaling
154
        signal sm                                       :       transferState;
155
 
156 230 jguarin200
        signal sr_e                                     :       std_logic;
157 229 jguarin200
        signal sr_ack                           :       std_logic;
158 211 jguarin200
        signal soutb_ack                        :       std_logic;
159
 
160
 
161 229 jguarin200
 
162 211 jguarin200
        signal soutb_d                          :       std_logic_vector(wd-1 downto 0);
163
 
164
 
165
        signal soutb_w                          :       std_logic;
166
 
167
        signal soutb_e                          :       std_logic;
168
        signal soutb_ae                         :       std_logic;
169
        signal soutb_af                         :       std_logic;
170
        signal soutb_usedw                      :       std_logic_vector(fd-1 downto 0);
171
 
172
        signal ssync_chain_1            :       std_logic;
173 229 jguarin200
 
174 211 jguarin200
        signal ssync_chain_pending      :       std_logic;
175
        signal sfetch_data_pending      :       std_logic;
176
        signal sload_add_pending        :       std_logic;
177
        signal spipeline_pending        :       std_logic;
178
        signal swrite_pending           :   std_logic;
179
        signal sparamload_pending       :       std_logic;
180
        signal sZeroTransit                     :       std_logic;
181
 
182
 
183
        --!Unload Control
184
        signal supload_chain    : upload_chain;
185
        signal supload_start    : upload_chain;
186 202 jguarin200
 
187 211 jguarin200
        --!Se&ntilde;ales de apoyo:
188
        signal zero : std_logic_vector(31 downto 0);
189
 
190
        --!High Register Bank Control Signals or AKA Load Sync Chain Control
191
        signal sdownload_chain  : download_chain;
192
        signal sdownload_start  : download_chain;
193
        signal srestart_chain   : std_logic;
194
        --!State Machine Hysteresis Control Signals
195
        signal sdrain_condition         : std_logic;
196
        signal sdrain_burstcount        : std_logic_vector(mb downto 0);
197 231 jguarin200
        signal sdata_fetch_counter      : std_logic_vector(reg_nfetch_high downto 0);
198 211 jguarin200
        signal sburstcount_sink         : std_logic_vector(mb downto 0);
199
 
200
        signal sflood_condition         : std_logic;
201
        signal sflood_burstcount        : std_logic_vector(mb downto 0);
202 177 jguarin200
 
203 248 jguarin200
        signal sp0,sp1,sp2,sp3,sp4,sp5,sp6,sp7,sp8: std_logic_vector(31 downto 0);
204 219 jguarin200
        --! Arithmetic Pipeline and Data Path Control
205
        component ap_n_dpc
206
        port (
207 243 jguarin200
 
208 248 jguarin200
                p0,p1,p2,p3,p4,p5,p6,p7,p8      : out std_logic_vector(31 downto 0);
209 219 jguarin200
                clk                                             : in    std_logic;
210
                rst                                             : in    std_logic;
211 229 jguarin200
                ax                                              : in    std_logic_vector(31 downto 0);
212
                ay                                              : in    std_logic_vector(31 downto 0);
213
                az                                              : in    std_logic_vector(31 downto 0);
214
                bx                                              : in    std_logic_vector(31 downto 0);
215
                by                                              : in    std_logic_vector(31 downto 0);
216
                bz                                              : in    std_logic_vector(31 downto 0);
217
                vx                                              : out   std_logic_vector(31 downto 0);
218
                vy                                              : out   std_logic_vector(31 downto 0);
219
                vz                                              : out   std_logic_vector(31 downto 0);
220
                sc                                              : out   std_logic_vector(31 downto 0);
221
                ack                                             : in    std_logic;
222
                empty                                   : out   std_logic;
223
                dcs                                             : in    std_logic_vector(2 downto 0);            --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
224 248 jguarin200
                sync_chain_1                            : in    std_logic;              --! Se&ntilde;al de dato valido que se va por toda la cadena de sincronizacion.
225
                pipeline_pending                        : out   std_logic               --! Se&ntilde;al para indicar si hay datos en el pipeline aritm&eacute;tico.    
226 219 jguarin200
        );
227
        end component;
228
 
229 230 jguarin200
        --! Nets para la salida de la cola de resultados y entrada del multiplexor del upload state machine.
230 229 jguarin200
        signal svx,svy,svz,ssc          : std_logic_vector(31 downto 0);
231 219 jguarin200
 
232 211 jguarin200
begin
233
 
234 219 jguarin200
        --!Zero agreggate
235 211 jguarin200
        zero    <= (others => '0');
236
 
237
 
238 219 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
239
--! ARITHMETIC PIPELINE AND DATA PATH INSTANTIATION  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  => 
240
--! *************************************************************************************************************************************************************************************************************************************************************
241 211 jguarin200
 
242 219 jguarin200
        --! Arithpipeline and Datapath Control Instance
243
        arithmetic_pipeline_and_datapath_controller : ap_n_dpc
244
        port map (
245 243 jguarin200
                p0                              => sp0,
246
                p1                              => sp1,
247
                p2                              => sp2,
248 248 jguarin200
                p3                              => sp3,
249
                p4                              => sp4,
250
                p5                              => sp5,
251
                p6                              => sp6,
252
                p7                              => sp7,
253
                p8                              => sp8,
254
 
255 219 jguarin200
                clk                             => clk,
256
                rst                             => rst,
257 229 jguarin200
                ax                                      => sreg_block(reg_ax),
258
                ay                                      => sreg_block(reg_ay),
259
                az                                      => sreg_block(reg_az),
260
                bx                                      => sreg_block(reg_bx),
261
                by                                      => sreg_block(reg_by),
262
                bz                                      => sreg_block(reg_bz),
263
                vx                                      => svx,
264
                vy                                      => svy,
265
                vz                                      => svz,
266
                sc                                      => ssc,
267
                ack                                     => sr_ack,
268
                empty                           => sr_e,
269
                dcs                                     => sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s),
270 219 jguarin200
                sync_chain_1            => ssync_chain_1,
271 229 jguarin200
                pipeline_pending        => spipeline_pending
272 219 jguarin200
        );
273 211 jguarin200
 
274
 
275
--! ******************************************************************************************************************************************************                                              
276
--! TRANSFER CONTROL RTL CODE
277
--! ******************************************************************************************************************************************************                                              
278
        TRANSFER_CONTROL:
279 229 jguarin200
        process(clk,rst,master_waitrequest,sm,soutb_ae,soutb_usedw,spipeline_pending,soutb_e,zero,soutb_af,sfetch_data_pending,sreg_block,sslave_write,sslave_address,sslave_writedata,ssync_chain_pending,smaster_read,smaster_write,sdata_fetch_counter,sload_add_pending,swrite_pending,sdownload_chain)
280 211 jguarin200
        begin
281 202 jguarin200
 
282 211 jguarin200
                --! Conexi&oacuteln a se&ntilde;ales externas. 
283
                irq <= sreg_block(reg_ctrl)(reg_ctrl_irq);
284
                master_read <= smaster_read;
285
                master_write <= smaster_write;
286 202 jguarin200
 
287 217 jguarin200
                --! Direct Memory Access Selector.
288 150 jguarin200
 
289 217 jguarin200
 
290
 
291 211 jguarin200
                --! ZERO_TRANSIT: Cuando todos los elementos de sincronizaci&oacute;n est&aacute;n en cero menos la cola de sincronizaci&oacute;n de carga de parametros.
292
                sZeroTransit <= not(sload_add_pending or sfetch_data_pending or spipeline_pending or swrite_pending);
293 202 jguarin200
 
294 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION OUT QUEUE: Datos pendientes por cargar a la memoria a trav&eacute;s de la interconexi&oacute;n
295
                swrite_pending <= not(soutb_e);
296 202 jguarin200
 
297
 
298 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION DESCARGA DE DATOS: Hay datos pendientes por descargar desde la memoria a trav&eacute;s de la interconexi&oacute;n.
299 231 jguarin200
                if sdata_fetch_counter=zero(reg_nfetch_high downto 0) then
300 211 jguarin200
                        sfetch_data_pending <= '0';
301
                else
302
                        sfetch_data_pending <= '1';
303
                end if;
304
 
305
                --! ELEMENTO DE SINCRONIZACION CARGA DE DIRECCIONES: Hay direcciones pendientes por cargar a la interconexi&oacute;n?
306 231 jguarin200
                if sreg_block(reg_nfetch)(reg_nfetch_high downto 0)=zero(reg_nfetch_high downto 0) then
307 211 jguarin200
                        sload_add_pending <= '0';
308
                else
309
                        sload_add_pending <= '1';
310
                end if;
311 202 jguarin200
 
312 211 jguarin200
                --! ELEMENTO DE SINCRONIZACION CARGA DE OPERANDOS: Se est&aacute;n cargando los operandos que ser&aacute;n operados en el pipeline aritm&eacute;tico.
313 219 jguarin200
                if sdownload_chain /= DWAX and sdownload_chain /= DWAXBX then
314 211 jguarin200
                        sparamload_pending <= '1';
315
                else
316
                        sparamload_pending <= '0';
317
                end if;
318
 
319
                --! Se debe iniciar una transacci&oacute;n de descarga de datos desde la memoria externa?
320
                if soutb_af='0' and sload_add_pending='1' then
321
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados continuar&aacute; si no est&aacute; tan llena y adem&aacute;s hay pendientes datos por ser descargados.
322
                        sflood_condition <= '1';
323
                else
324
                        --! Flow Control : La saturaci&oacute;n de la cola de resultados debe parar porque est&aacute; cas&iacute; llena.       
325
                        sflood_condition <= '0';
326
                end if;
327 237 jguarin200
 
328
                if sreg_block(reg_nfetch)(reg_nfetch_high downto mb)/=zero(reg_nfetch_high downto mb) then
329 211 jguarin200
                        --! Flow Control: Si el n&uacute;mero de descargas pendientes es mayor o igual al max burst length, entonces cargar max burst en el contador.
330
                        sflood_burstcount <= '1'&zero(mb-1 downto 0);
331
                else
332
                        --! Flow Control: Si le n&uacute;mero de descargas pendientes es inferior a Max Burst Count entonces cargar los bits menos significativos del registro de descargas pendientes.
333 237 jguarin200
                        sflood_burstcount <= '0'&sreg_block(reg_nfetch)(mb-1 downto 0);
334 211 jguarin200
                end if;
335 202 jguarin200
 
336 211 jguarin200
                --! Se debe iniciar una transacci&oacute;n de carga de datos hacia la memoria externa?
337
                if soutb_ae='1' then
338
                        --! Flow Control : Cuando se est&eacute; drenando la cola de resultados, si la cola est&aacute; cas&iacute; vac&iaute;a, la longitud del burst ser&aacute;n los bits menos significativos del contador de la cola.  
339
                        sdrain_burstcount <= soutb_usedw(mb downto 0);
340
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola bajo y no hay datos transitando por el pipeline, ni datos pendientes por cargar desde la memoria.   
341
                        sdrain_condition <= not(sload_add_pending) and not(sfetch_data_pending) and not(spipeline_pending) and swrite_pending;
342
                else
343
                        --! Flow Control: Cuando se est&eacute; drenando la cola de resultados, si la cola de tiene una cantidad de datos mayor al burst count entonces se har&aacute; una transacci&oacute;n de longitud equivalente al burst count.
344
                        sdrain_burstcount <= '1'&zero(mb-1 downto 0);
345
                        --! Flow Control: El drenado de datos continuar&aacute; si el n&uacute;mero de datos en la cola es mayor o igual a 2**mb O si hay muy pocos datos y no hay datos transitando por el pipeline.   
346
                        sdrain_condition <= '1';
347
                end if;
348 202 jguarin200
 
349 211 jguarin200
                --! Restart param load chain
350
                srestart_chain <= sreg_block(reg_ctrl)(reg_ctrl_irq) and sreg_block(reg_ctrl)(reg_ctrl_rlsc);
351 202 jguarin200
 
352 217 jguarin200
                --! Data dumpster: Descaratar dato de upload una vez la interconexi&oacute;n haya enganchado el dato.
353 211 jguarin200
                if sm=SINK and master_waitrequest='0' and smaster_write='1' then
354
                        soutb_ack <= '1';
355
                else
356
                        soutb_ack <= '0';
357
                end if;
358 202 jguarin200
 
359 217 jguarin200
 
360
 
361 211 jguarin200
                --! Flow Control State Machine.
362
                if rst=rstMasterValue then
363
 
364
                        --! State Machine 
365
                        sm <= IDLE;
366
 
367
 
368
                        --! Master Write & Read Common Signals Reset Value
369
                        master_burstcount       <= (others => '0');
370
                        master_address          <= (others => '0');
371
                        sdata_fetch_counter     <= (others => '0');
372
                        sburstcount_sink        <= (others => '0');
373 150 jguarin200
 
374 211 jguarin200
                        --! Master Read Only Signals Reset Value
375
                        smaster_read            <= '0';
376
 
377
                        --! Master Write Only Signals
378
                        smaster_write           <= '0';
379
 
380
                        --! Reg Ctrl & Fetch address and writeaddress
381
                        --! Sinking address
382
                        sreg_block(reg_sinkstart) <= (others => '0');
383
                        --! Sourcing address
384
                        sreg_block(reg_fetchstart) <= (others => '0');
385
                        --! Control and Status Register
386
                        sreg_block(reg_ctrl) <= (others => '0');
387
                        --! Contador Overall
388
                        sreg_block(reg_inputcounter) <= (others => '0');
389
                        sreg_block(reg_outputcounter) <= (others => '0');
390 231 jguarin200
                        --! Address Fetch Counter 
391
                        sreg_block(reg_nfetch) <= (others => '0');
392 211 jguarin200
 
393
 
394
                elsif clk'event and clk='1' then
395 150 jguarin200
 
396 211 jguarin200
                        --! Nevermind the State, discount the incoming valid data counter.
397
                        sdata_fetch_counter <= sdata_fetch_counter-master_readdatavalid;
398
 
399
                        --! Debug Counter.
400
                        sreg_block(reg_inputcounter) <= sreg_block(reg_inputcounter) + master_readdatavalid;
401
                        sreg_block(reg_outputcounter) <= sreg_block(reg_outputcounter) + soutb_ack;
402 152 jguarin200
 
403 211 jguarin200
                        --! Flags
404
 
405
 
406
                        case sm is
407
                                when SOURCE =>
408
                                        --! ******************************************************************************************************************************************************                                              
409
                                        --! Flooding the pipeline ........
410
                                        --! ******************************************************************************************************************************************************                                              
411
                                        if smaster_read='0' then
412
                                                if sflood_condition = '1' then
413
                                                        --! Flow Control: Hay suficiente espacio en el buffer de salida y hay descargas pendientes por hacer
414
                                                        smaster_read <= '1';
415
                                                        master_address <= sreg_block(reg_fetchstart);
416
                                                        master_burstcount <= sflood_burstcount;
417
                                                        sdata_fetch_counter <= sdata_fetch_counter+sflood_burstcount-master_readdatavalid;
418
                                                        --! Context Saving:
419
                                                        sreg_block(reg_fetchstart) <= sreg_block(reg_fetchstart) + (sflood_burstcount&"00");
420 231 jguarin200
                                                        sreg_block(reg_nfetch)(reg_nfetch_high downto 0) <= sreg_block(reg_nfetch)(reg_nfetch_high downto 0) - sflood_burstcount;
421 211 jguarin200
                                                else
422
                                                        --! Flow Control : Cambiar al estado SINK, porque o est&aacute; muy llena la cola de salida o no hay descargas pendientes por realizar.
423
                                                        sm <= SINK;
424
                                                end if;
425
                                        else --master_read=1;
426
                                                if master_waitrequest='0' then
427
                                                        --! Las direcciones de lectura est&aacute;n cargadas. Terminar la transferencia.
428
                                                        smaster_read <= '0';
429
                                                end if;
430
                                        end if;
431
                                when SINK =>
432
 
433
                                        --! ******************************************************************************************************************************************************                                              
434
                                        --! Draining the pipeline ........
435
                                        --! ******************************************************************************************************************************************************                                              
436
                                        if smaster_write='0' then
437
 
438
                                                if sdrain_condition='1' then
439
                                                        --! Flow Control : Hay muchos datos aun en la cola de resultados &Oacute; la cola de resultados est&aacute; cas&iacute; vac&iacute;a y no hay datos transitando en el pipeline aritm&eetico.
440
                                                        smaster_write <= '1';
441
                                                        master_address <= sreg_block(reg_sinkstart);
442
                                                        master_burstcount <= sdrain_burstcount;
443 150 jguarin200
 
444 211 jguarin200
                                                        --!Context Saving
445
                                                        sreg_block(reg_sinkstart) <= sreg_block(reg_sinkstart) + (sdrain_burstcount&"00");
446
                                                        sburstcount_sink <= sdrain_burstcount-1;
447
                                                else
448
                                                        --! Flow Control: Son muy pocos los datos que hay en el buffer de salida y existen aun datos transitando en el resto del pipe ir al estado SOURCE.
449
                                                        if sZeroTransit='1' then
450
 
451
                                                                --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
452
                                                                sm <= IDLE;
453
                                                                sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
454
                                                                sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
455 217 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
456 211 jguarin200
                                                                sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
457 229 jguarin200
 
458 211 jguarin200
                                                        else
459
 
460
                                                                --! Flow Control: Cambiar a Source porque aun hay elementos transitando.
461
                                                                sm <= SOURCE;
462
                                                        end if;
463
 
464
                                                end if;
465
                                        else --!smaster_write=1 
466
                                                if master_waitrequest = '0' then
467
 
468
                                                        --! Descartar datos : revisar antes de este proceso secuencial la parte combinatoria (Data Dumpster).
469
 
470
 
471
                                                        if sburstcount_sink/=zero(mb downto 0) then
472
 
473
                                                                --! Datos pendientes por transmitir aun en el burst. Restar uno 
474
                                                                sburstcount_sink <= sburstcount_sink-1;
475
                                                        else
476
 
477
                                                                --! No escribir mas. Finalizar la transmisi&oacute;n
478
                                                                smaster_write <= '0';
479
 
480
                                                                --! Si no hay transito de dato se con terminada la instrucci&oacute;n siempre que el estado de control de flujo est&eacute; sidera  
481
                                                                if sZeroTransit='1' then
482
 
483
                                                                        --! Flow Control: Finalizada la instrucci&oacute;n, generar una interrupci&oacute;n e ir al estado IDLE.
484
                                                                        sm <= IDLE;
485
                                                                        sreg_block(reg_ctrl)(reg_ctrl_irq) <= '1';
486
                                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
487 217 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_dc downto reg_ctrl_flags_fc) <= sdrain_condition & sflood_condition;
488 211 jguarin200
                                                                        sreg_block(reg_ctrl)(reg_ctrl_flags_ap downto reg_ctrl_flags_wp) <= sload_add_pending & sfetch_data_pending & sparamload_pending & spipeline_pending & swrite_pending;
489
 
490
                                                                end if;
491
                                                        end if;
492
                                                end if;
493
                                        end if;
494
 
495 237 jguarin200
                                when IDLE =>
496
 
497 211 jguarin200
                                        --! ******************************************************************************************************************************************************                                              
498
                                        --! Programming the pipeline
499
                                        --! ******************************************************************************************************************************************************                                              
500
                                        --! El registro de control en sus campos fetch e irq, es escribile solo cuando estamos en estado IDLE.           
501
                                        if sslave_write='1' then
502
                                                case sslave_address is
503
                                                        when x"0" =>
504
                                                                --! Solo se permitira escribir en el registro de control si no hay una interrupci&oacute;n activa o si la hay solamente si se esta intentando desactivar la interrupci&acute;n 
505
                                                                if sreg_block(reg_ctrl)(reg_ctrl_irq)='0' or sslave_writedata(reg_ctrl_irq)='0' then
506 237 jguarin200
                                                                        sreg_block(reg_ctrl)<= sslave_writedata;
507 211 jguarin200
                                                                end if;
508 231 jguarin200
                                                        when x"5" => sreg_block(reg_nfetch) <= sslave_writedata;
509 211 jguarin200
                                                        when x"6" => sreg_block(reg_outputcounter) <= sslave_writedata;
510
                                                        when x"7" => sreg_block(reg_inputcounter) <= sslave_writedata;
511
                                                        when x"8" => sreg_block(reg_fetchstart) <= sslave_writedata;
512
                                                        when x"9" => sreg_block(reg_sinkstart) <= sslave_writedata;
513
                                                        when others => null;
514
                                                end case;
515
                                        else
516
 
517
                                                if sZeroTransit='0' then
518
 
519
 
520
                                                        --! Flow Control: Existe un n&uacute;mero de descargas programadas por el sistema, comenzar a realizarlas.
521
                                                        --! Ir al estado Source.
522
                                                        sm <= SOURCE;
523
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '1';
524 219 jguarin200
 
525
                                                else
526
                                                        sreg_block(reg_ctrl)(reg_ctrl_rom) <= '0';
527
 
528 211 jguarin200
                                                end if;
529
                                        end if;
530
                        end case;
531
                end if;
532
        end process;
533
--! ******************************************************************************************************************************************************                                              
534
--! FLOW CONTROL RTL CODE
535
--! ******************************************************************************************************************************************************                                              
536 229 jguarin200
--! buffer de salida
537 211 jguarin200
--! ******************************************************************************************************************************************************                                              
538
        output_buffer:scfifo
539
        generic map (almost_empty_value => 2**mb,almost_full_value => (2**fd)-52, lpm_widthu => fd, lpm_numwords => 2**fd, lpm_showahead => "ON", lpm_width => 32, overflow_checking => "ON", underflow_checking => "ON", use_eab => "ON")
540
        port map        (empty => soutb_e, aclr => '0', clock => clk, rdreq      => soutb_ack, wrreq     => soutb_w,     q => master_writedata, usedw    => soutb_usedw, almost_full => soutb_af, almost_empty => soutb_ae, data => soutb_d);
541
--! ******************************************************************************************************************************************************                                              
542
--! PROCESO DE CONTROL DE FLUJO ENTRE EL BUFFER DE RESULTADOS Y EL BUFFER DE SALIDA
543
--! ******************************************************************************************************************************************************                                              
544
 
545
        FLOW_CONTROL_OUTPUT_STAGE:
546 229 jguarin200
        process (clk,rst,master_readdata, master_readdatavalid,sr_e,sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc),sm,supload_chain,zero,ssync_chain_pending,supload_start)
547 211 jguarin200
        begin
548
 
549
 
550
                --! Compute initial State.
551
 
552
                --! Escribir en el output buffer.
553 217 jguarin200
                if supload_chain=DMA then
554
                        --! Modo DMA escribir los datos de entrada directamente en el buffer.
555
                        soutb_w <= master_readdatavalid;
556
                else
557
                        --!Modo Arithmetic Pipeline 
558 229 jguarin200
                        soutb_w <= not(sr_e);
559 217 jguarin200
                end if;
560 211 jguarin200
 
561
                --! Control de lectura de la cola de resultados.
562 229 jguarin200
                if sr_e='0' then
563 211 jguarin200
                        --!Hay datos en la cola de resultados.
564 219 jguarin200
                        if (supload_chain=UPVZ and sreg_block(reg_ctrl)(reg_ctrl_sc)='0') or supload_chain=SC then
565 211 jguarin200
                                --!Se transfiere el ultimo componente vectorial y no se estan cargando resultados escalares.
566 229 jguarin200
                                sr_ack <= '1';
567 219 jguarin200
                        else
568 229 jguarin200
                                sr_ack <= '0';
569 211 jguarin200
                        end if;
570
                else
571 229 jguarin200
                        sr_ack <= '0';
572 211 jguarin200
                end if;
573
 
574 217 jguarin200
 
575 211 jguarin200
                --! Decodificar que salida de la cola de resultados se conecta a la entrada del otput buffer
576 217 jguarin200
                --! DMA Path Control: Si se encuentra habilitado el modo dma entonces conectar la entrada del buffer de salida a la interconexi&oacute;n
577 211 jguarin200
                case supload_chain is
578 219 jguarin200
                        when UPVX =>
579 229 jguarin200
                                soutb_d <= svx;
580 219 jguarin200
                        when UPVY =>
581 229 jguarin200
                                soutb_d <= svy;
582 219 jguarin200
                        when UPVZ =>
583 229 jguarin200
                                soutb_d <= svz;
584 211 jguarin200
                        when SC =>
585 229 jguarin200
                                soutb_d <= ssc;
586 217 jguarin200
                        when DMA =>
587
                                soutb_d <= master_readdata;
588 211 jguarin200
                end case;
589
 
590
 
591
                case sreg_block(reg_ctrl)(reg_ctrl_vt downto reg_ctrl_sc) is
592
                        when "01" =>
593
                                supload_start <= SC;
594
                        when others =>
595 219 jguarin200
                                supload_start <= UPVX;
596 211 jguarin200
                end case;
597
 
598
 
599
                --! M&aacute;quina de estados para el width adaptation RES(128) -> OUTPUTBUFFER(32).    
600
                if rst=rstMasterValue then
601 219 jguarin200
                        supload_chain <= UPVX;
602 217 jguarin200
                elsif clk'event and clk='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
603
                        --! Modo de operaci&oacute;n normal.
604 211 jguarin200
                        case supload_chain is
605 219 jguarin200
                                when UPVX =>
606 229 jguarin200
                                        if sr_e='1' then
607 211 jguarin200
                                                supload_chain <= supload_start;
608
                                        else
609 219 jguarin200
                                                supload_chain <= UPVY;
610 211 jguarin200
                                        end if;
611 219 jguarin200
                                when UPVY =>
612
                                        supload_chain <= UPVZ;
613
                                when UPVZ =>
614 211 jguarin200
                                        if sreg_block(reg_ctrl)(reg_ctrl_sc)='0' then
615 219 jguarin200
                                                supload_chain <= UPVX;
616 211 jguarin200
                                        else
617
                                                supload_chain <= SC;
618
                                        end if;
619 217 jguarin200
                                when SC|DMA =>
620 211 jguarin200
                                        supload_chain <= supload_start;
621 217 jguarin200
 
622 211 jguarin200
                        end case;
623 217 jguarin200
 
624
                elsif clk'event and clk='1' then
625
                        --! Modo DMA
626
                        supload_chain <= DMA;
627 211 jguarin200
                end if;
628
 
629
 
630
        end process;
631
--! ******************************************************************************************************************************************************                                              
632
--! PROCESO DE CONTROL DE FLUJO ENTRE LA ENTRADA DESDE LA INTERCONEXI&OACUTE;N Y LOS PARAMETROS DE ENTRADA EN EL PIPELINE ARITMETICO
633
--! ******************************************************************************************************************************************************                                              
634
        FLOW_CONTROL_INPUT_STAGE:
635 217 jguarin200
        process(clk,rst,master_readdatavalid,master_readdata,sreg_block(reg_ctrl)(reg_ctrl_dma downto reg_ctrl_s),sslave_write,sslave_address,supload_chain)
636 211 jguarin200
        begin
637
                --! Est&aacute; ocurriendo un evento de transici&oacute;n del estado TX al estado FETCH: Programar el enganche de par&aacute;metros que vienen de la interconexi&oacute;n.
638 219 jguarin200
                --! Mirar como es la carga inicial. Si es Normalizacion o Magnitud (dcs=110) entonces cargar DWAXBX de lo contrario solo DWAX.
639 211 jguarin200
                case sreg_block(reg_ctrl)(reg_ctrl_d downto reg_ctrl_s) is
640 221 jguarin200
                        when "110"      =>      sdownload_start <= DWAXBX;
641
                        when others     =>      sdownload_start <= DWAX;
642 211 jguarin200
                end case;
643
                if rst=rstMasterValue then
644
                        ssync_chain_1 <= '0';
645 219 jguarin200
                        sdownload_chain <= DWAX;
646 211 jguarin200
                        for i in reg_bz downto reg_ax loop
647
                                sreg_block(i) <= (others => '0');
648
                        end loop;
649
                elsif clk'event and clk='1' then
650
                        ssync_chain_1   <= '0';
651 217 jguarin200
                        if master_readdatavalid='1' and sreg_block(reg_ctrl)(reg_ctrl_dma)='0' then
652 211 jguarin200
                                --! El dato en la interconexi&oacute;n es valido, se debe enganchar. 
653
                                case sdownload_chain is
654 219 jguarin200
                                        when DWAX | DWAXBX  =>
655 211 jguarin200
                                                --! Cargar el operando correspondiente al componente "X" del vector "A" 
656
                                                ssync_chain_1 <= '0';
657
                                                sreg_block(reg_ax) <= master_readdata;
658 219 jguarin200
                                                if sdownload_start = DWAXBX then
659 211 jguarin200
                                                        --! Operaci&oacute;n Unaria por ejemplo magnitud de un vector
660
                                                        --! Escribir en el registro bx adicionalmente. 
661
                                                        sreg_block(reg_bx) <= master_readdata;
662
                                                        --! El siguiente estado es cargar el componente "Y" de del operando a ejecutar. 
663 219 jguarin200
                                                        sdownload_chain <= DWAYBY;
664 211 jguarin200
                                                else
665
                                                        --! Operaci&oacute;n de dos operandos. Por ejemplo Producto Cruz.
666
                                                        --! El siguiente estado es cargar el vector "Y" del operando "A".
667 219 jguarin200
                                                        sdownload_chain <= DWAY;
668 211 jguarin200
                                                end if;
669 219 jguarin200
                                        when DWAY | DWAYBY =>
670 211 jguarin200
                                                sreg_block(reg_ay) <= master_readdata;
671
                                                ssync_chain_1 <= '0';
672 219 jguarin200
                                                if sdownload_chain = DWAYBY then
673 211 jguarin200
                                                        sreg_block(reg_by) <= master_readdata;
674 219 jguarin200
                                                        sdownload_chain <= DWAZBZ;
675 211 jguarin200
                                                else
676 219 jguarin200
                                                        sdownload_chain <= DWAZ;
677 211 jguarin200
                                                end if;
678 219 jguarin200
                                        when DWAZ  | DWAZBZ =>
679 211 jguarin200
                                                sreg_block(reg_az) <= master_readdata;
680 219 jguarin200
                                                if sdownload_chain=DWAZBZ then
681 211 jguarin200
                                                        ssync_chain_1 <= '1';
682
                                                        sreg_block(reg_bz) <= master_readdata;
683 219 jguarin200
                                                        sdownload_chain <= DWAXBX;
684 211 jguarin200
                                                else
685
                                                        ssync_chain_1 <= '0';
686 219 jguarin200
                                                        sdownload_chain <= DWBX;
687 211 jguarin200
                                                end if;
688 219 jguarin200
                                        when DWBX  =>
689 211 jguarin200
                                                ssync_chain_1 <= '0';
690
                                                sreg_block(reg_bx) <= master_readdata;
691 219 jguarin200
                                                sdownload_chain <= DWBY;
692
                                        when DWBY =>
693 211 jguarin200
                                                ssync_chain_1 <= '0';
694
                                                sreg_block(reg_by) <= master_readdata;
695 219 jguarin200
                                                sdownload_chain <= DWBZ;
696
                                        when DWBZ =>
697 211 jguarin200
                                                sreg_block(reg_bz) <= master_readdata;
698
                                                ssync_chain_1 <= '1';
699
                                                if sreg_block(reg_ctrl)(reg_ctrl_cmb)='1' then
700 219 jguarin200
                                                        sdownload_chain <= DWBX;
701 211 jguarin200
                                                else
702 219 jguarin200
                                                        sdownload_chain <= DWAX;
703 211 jguarin200
                                                end if;
704
                                        when others =>
705
                                                null;
706
                                end case;
707
 
708
                                if srestart_chain='1' then
709
                                        sdownload_chain <= sdownload_start;
710
                                end if;
711
 
712
                        end if;
713
                end if;
714
        end process;
715
--! *************************************************************************************************************************************************************************************************************************************************************
716
--! AVALON MEMORY MAPPED MASTER FINISHED
717
--! *************************************************************************************************************************************************************************************************************************************************************
718
--! *************************************************************************************************************************************************************************************************************************************************************
719
--! AVALON MEMORY MAPPED SLAVE BEGINS =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>  =>
720
--! *************************************************************************************************************************************************************************************************************************************************************
721
        --! Master Slave Process: Proceso para la escritura y lectura de registros desde el NIOS II.
722
        low_register_bank:
723 229 jguarin200
        process (clk,rst,sreg_block,soutb_w,supload_chain)
724 211 jguarin200
        begin
725
                if rst=rstMasterValue then
726 231 jguarin200
                        for i in reg_scalar downto reg_vz loop
727 211 jguarin200
                                sreg_block(i) <= (others => '0');
728
                        end loop;
729
 
730
                        slave_readdata <= (others => '0');
731
                        sslave_address <= (others => '0');
732
                        sslave_writedata <= (others => '0');
733
                        sslave_write <= '0';
734
                        sslave_read <= '0';
735
                elsif clk'event and clk='1' then
736
 
737
 
738
                        sslave_address          <= slave_address;
739
                        sslave_write            <= slave_write;
740
                        sslave_read                     <= slave_read;
741
                        sslave_writedata        <= slave_writedata;
742 229 jguarin200
 
743 243 jguarin200
                        for i in reg_scalar downto reg_scalar loop
744 211 jguarin200
                                if sslave_address=i then
745
                                        if sslave_write='1' then
746
                                                sreg_block(i) <= sslave_writedata;
747
                                        end if;
748
                                end if;
749
                        end loop;
750
                        for i in 15 downto 0 loop
751
                                if sslave_address=i then
752
                                        if sslave_read='1' then
753 248 jguarin200
 
754
                                                if (i<10 and i>3) or i=0 then
755
                                                        slave_readdata <= sreg_block(i);
756
                                                elsif i=1 then
757
                                                        slave_readdata <= sp0;
758
                                                elsif i=2 then
759
                                                        slave_readdata <= sp1;
760
                                                elsif i=3 then
761
                                                        slave_readdata <= sp2;
762
                                                elsif i=10 then
763
                                                        slave_readdata <= sp3;
764
                                                elsif i=11 then
765
                                                        slave_readdata <= sp4;
766
                                                elsif i=12 then
767
                                                        slave_readdata <= sp5;
768
                                                elsif i=13 then
769
                                                        slave_readdata <= sp6;
770
                                                elsif i=14 then
771
                                                        slave_readdata <= sp7;
772
                                                elsif i=15 then
773
                                                        slave_readdata <= sp8;
774
                                                end if;
775
 
776 211 jguarin200
                                        end if;
777
                                end if;
778
                        end loop;
779
                end if;
780
        end process;
781 243 jguarin200
 
782 211 jguarin200
--! *************************************************************************************************************************************************************************************************************************************************************
783
--! AVALON MEMORY MAPPED SLAVE FINISHED
784
--! *************************************************************************************************************************************************************************************************************************************************************
785
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
786 217 jguarin200
        --! Control Register (reg_ctrl) BASE_ADDRESS + 0x0                                                                                                                                                                                              |
787 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
788
        --! Bit No.     | Nombre        | Descripci&oacute;n                                                                                                                                                                                            |
789
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
790 217 jguarin200
        --! 0           | cmb (rw)      | 1:    La operaci&oacute;n es combinatoria, por lo tanto cargan los primeros 3 valores en el Operando A y el           |
791
        --!                     |                       |               de vectores en el operando B.                                                                                                                                                           |
792 211 jguarin200
        --!                     |                       | 0:    La operaci&oacute;n no es combinatoria, se cargan vectores en los operandos A y B.                                                      |
793
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
794
        --!                     |                       |               Configuraci&oacute;n del Datapath, Interconexi&oacute;n del Pipeline Aritm&eacute;tico y Cadena de Carga        |
795
        --!                     |                       |               Dependiendo del valor de estos 3 bits se configura la operaci&oacute;n a ejecutar.                                                      |
796
        --!                     |                       |                                                                                                                                                                                                                                       |
797
        --! [3:1]       | dcs (rw)      | 011:  Producto Cruz                                                                                                                                                                                           |
798
        --!                     |                       | 000:  Suma Vectorial                                                                                                                                                                                          |
799
        --!                     |                       | 001:  Resta Vectorial                                                                                                                                                                                         |
800
        --!                     |                       | 110:  Normalizaci&oacute;n Vectorial y c&aacute;lculo de Magnitud Vectorial                                                                           |
801
        --!                     |                       | 100:  Producto Punto                                                                                                                                                                                          |
802
        --!                     |                       | 111:  Producto Simple                                                                                                                                                                                         |
803
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
804
        --! [5:4]       | vtsc (rw)     | 00:   Solo leer los resultados vectoriales.                                                                                                                                           |
805
        --!                     |                       | 01:   Solo leer los resultados escalares.                                                                                                                                                     |
806
        --!                     |                       | 10:   Solo leer los resultados vectoriales.                                                                                                                                           |
807
        --!                     |                       | 11:   Leer los resultados escalares y vectoriales.                                                                                                                            |
808
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
809 217 jguarin200
        --! 6           | dma (rw)      |  1:   Modo DMA: Los datos que ingresan se leen desde la direcci&oacute;n FETCHSTART (BASE+0x08) y se escriben en  |
810
        --!                     |                       |               la direcci&oacute;n SINKSTART (BASE+0x09).                                                                                                                                      |
811
        --!                     |                       |  0:   Modo Arithmetic Pipeline: Los datos ingresan en grupos de a 6 valores para 2 vectores de 3 valores cada uno,|
812
        --!                     |                       |               cuando se usa en modo uno a uno (cmb=1), &oacute; en grupos de 3 valores para 1 vector de 3 valores,            |
813
        --!                     |                       |               pero con el operando A fijado con el valor de la primera carga de valores en modo combinatorio (cmb=1).         |
814
        --!                     |                       |               De la misma manera que en modo DMA se cargan los operandos en la direcci&oacute;n FETCHSTART y se escriben      |
815
        --!                     |                       |               los resultados en la direcci&oacute;n SINKSTART.                                                                                                                        |
816
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
817
        --! 7           | flag_fc(r)|  1:       Al momento de generar una interrupci&oacute;n este bit se coloca en 1 si se cumplen las condiciones de          |
818
        --!                     |                       |               descarga de datos de la memoria (revisar el net signal sflood_condition). Si se encuentra en uno se                     |
819
        --!                     |                       |               tratar&iacute;a de una inconsistencia puesto que la interrupci&oacute;n se dispara una vez se ha terminado      |
820
        --!             |                       |               de ejecutar una instrucci&oacute;n y el que la bandera este en uno significa que hay transacciones de           |       
821
        --!                     |                       |               descarga de datos desde la memoria pendientes.                                                                                                                          |
822
        --!                     |                       |                                                                                                                                                                                                                                       |
823
        --!                     |                       |               En general que cualquiera de estas banderas se coloque en uno es una se&ntilde;alizacion de error, puesto       |
824
        --!                     |                       |               que una vez se ha terminado de ejecutar una instrucci&oacute;n no deben haber transacciones pendientes.         |
825
        --!                     |                       |               La raz&oacute;n de ser de estas banderas es hacer depuraci&oacute;n del hardware mas que del software.          |
826
        --!                     |                       |                                                                                                                                                                                                                                       |
827
        --!                     |                       |  0:   Flood Condition off.                                                                                                                                                                            |
828
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
829
        --! 8           | flag_dc(r)|  1:       Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |
830
        --!                     |                       |  0:   Drain Condition off.                                                                                                                                                                            |
831
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
832
        --! 9           | wp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute; y hay datos transitando en el buffer de salida aun.           |                                                                                                                                                                                       
833
        --!                     |                       |  0:   Write on Memory not pending.                                                                                                                                                            |
834
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
835
        --! 10          | pp(r)         |  1:   Error, la instrucci&oacute;n ya se ejecut&oacute;n y hay datos transitando el pipeline aritm&eacute;tico.       |
836
        --!                     |                       |  0:   Pipeline not pending.                                                                                                                                                                           |
837
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
838
        --! 11          | pl(r)         |  1:   La carga de parametros no se complet&oacute;. Esto por lo general pasa cuando uno va a realizar una                     |
839
        --!             |                       |               operaci&acute;n combinatoria y solo cargo el primer operando, el A, esto puede ocurrir porque por ejemplo       |
840
        --!                     |                       |               se puede desear sumar un conjunto de vectores a un vector de referencia. Este vector de referencia puede        |
841
        --!                     |                       |               estar en un area de memoria distinta, que el resto de los vectores. Por lo tanto el pseudo codigo para          |
842
        --!                     |                       |               ejecutar una operaci&oacute;n de este tipo seria:                                                                                                                       |
843
        --!                     |                       |                                                                                                                                                                                                                                       |       
844
        --!                     |                       |               ld vect,add,cmb;        //Resultados solo vectoriales, ejecutar operaci&oacute;n suma en modo combinatorio              |
845
        --!                     |                       |               ld &A;                          //Cargar la direccion del Vector A.                                                                                                             |
846
        --!                     |                       |               ld 3;                           //Cargar 3 valores, o sea el Vector A.                                                                                                  | 
847
        --!                     |                       |               wait int;                       //Esperar a que se ejecute la interrupcion. Una vez se termine de ejecutar si la bandera|
848
        --!                     |                       |                                                       //pl est&aacute; en uno se vuelve a comenzar y se deshecha el dato que hay como                 |
849
        --!                     |                       |                                                       //par&aacute;metro.     Para este ejemplo se asume que est&aacute en uno                                        |
850
        --!                     |                       |               ld &B;                          //Cargar la direcci&oacute;n donde se encuentran los vectores B                                                 |
851
        --!                     |                       |               ld &C;                          //Cargar la direcci&oacute;n donde se exribiran los resultados.                                                 |
852
        --!                     |                       |               ld 24;                          //Cargar los siguientes 24 valores a partir de &B correspondiente a 8 vectores                  |
853
        --!                     |                       |                                                       //ejecutando 8 sumas vectoriales que se escribir&iacute;n a apartir de &C                               |
854
        --!                     |                       |               wait int;                       //Esperar a que termine la ejecuci&oacute;n de las sumas.                                                               |
855
        --!                     |                       |                                                                                                                                                                                                                                       |
856
        --!                     |                       |  0:   Los operandos se cargaron integros se cargo del todo y no hubo que desechar parametros.                                         |
857
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
858
        --! 12          | dp (r)        |  1:   Error, la instrucci&oacute;n se termino y aun hay datos pendientes por ser descargados                                          |
859
        --!                     |                       |  0:   No hay datos pendientes por ser descargados.                                                                                                                            |
860
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
861
        --! 13          | ap (r)        |  1:   Carga de direcciones en la interconexi&oacute;n a&uacute;n est&aacute; pendiente y la instrucci&oacute; ya      |
862
        --!                     |                       |               se ejecut&oacute;                                                                                                                                                                                       |
863
        --!                     |                       |  0:   No hay direcciones pendientes por cargar.                                                                                                                                       |
864
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
865 211 jguarin200
        --! 14          | rlsc (rw)     | 1:    El sistema est&aacute; configurado para resetear la recarga sincronizada de par&aacute;metros una vez           |
866
        --!                     |                       |               concluya la instrucci&oacute;n                                                                                                                                                          |
867
        --!                     |                       |                                                                                                                                                                                                                                       |
868 217 jguarin200
        --!                     |                       | 0:    El sistema est&aacute; configurado para no resetear la cadena de sincronizaci&oacute;n de carga.                        |
869 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
870 217 jguarin200
        --! 15          | rom (r)       | 1: Los registros solo se pueden leer no se pueden escribir. Etsado SINK y SOURCE                                                                      |
871 211 jguarin200
        --!                     |                       | 0: Los registros se pueden leer y escribir.                                                                                                                                           |
872
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
873
        --! [30:16]     | nfetch(rw)| Cantidad de direcciones a cargar en la interconex&oacute;n para realizar la posterior descarga de datos de la     |
874
        --!                     |                       | memoria al RayTrac.
875
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
876
        --!     31              | irq           | 1:    Evento de interrupci&oacute;n. El usuario debe hacer clear de este bit para dar la interrupci&o;n por           |
877
        --!                     |                       |               por atendida. Este bit se pone en uno cuando el sistema pasa de estado TX a FETCH o FETCH a TX.                         |
878
        --!                     |                       |                                                                                                                                                                                                                                       |
879
        --!                     |                       | 0:    El RayTrac se encuentra en operaci&oacute;n Normal.                                                                                                                     |
880
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------
881 217 jguarin200
        --! Result Vector Z component (reg_vz)  BASE_ADDRESS + 0x4                                                                                                                                                                      |
882 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
883 217 jguarin200
        --! Result Vector Y component (reg_vy) BASE_ADDRESS + 0x8                                                                                                                                                                       |
884 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
885 217 jguarin200
        --! Result Vector X component (reg_vx) BASE_ADDRESS + 0xC                                                                                                                                                                       |
886 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
887 217 jguarin200
        --! Result Vector Scalar component (reg_scalar) BASE_ADDRESS + 0x10                                                                                                                                                     |
888 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
889 231 jguarin200
        --! Scratch Vector 00   (reg_nfetch) BASE_ADDRESS +     0x14                                                                                                                                                            |
890 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
891 217 jguarin200
        --! output Data Counter (reg_outputcounter) BASE_ADDRESS + 0x18                                                                                                                                                         |
892 211 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
893 217 jguarin200
        --! Input Data Counter  (reg_inputcounter) BASE_ADDRESS + 0x1C                                                                                                                                                          |
894
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
895
        --! Data Fetch Start Address (reg_fetchstart) BASE_ADDRESS + 0x20                                                                                                                                                       |
896
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
897
        --! Data Write Start Address (reg_sinkstart) BASE_ADDRESS + 0x24                                                                                                                                                        |
898
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
899 219 jguarin200
        --! Parameter AX component (reg_ax) BASE_ADDRESS + 0x28                                                                                                                                                                         |
900 217 jguarin200
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
901
        --! Parameter Ay component (reg_ay) BASE_ADDRESS + 0x2C                                                                                                                                                                         |
902
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
903
        --! Parameter Az component (reg_az) BASE_ADDRESS + 0x30                                                                                                                                                                         |
904
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
905
        --! Parameter Bx component (reg_bx) BASE_ADDRESS + 0x34                                                                                                                                                                         |
906
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
907
        --! Parameter By component (reg_by) BASE_ADDRESS + 0x38                                                                                                                                                                         |
908
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|
909
        --! Parameter Bz component (reg_bz) BASE_ADDRESS + 0x3C                                                                                                                                                                         |
910
        --!---------|-----------|-------------------------------------------------------------------------------------------------------------------|   
911
 
912
 
913
 
914
 
915
 
916
 
917
end architecture;
918
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.