OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 jguarin200
 
2
-- RAYTRAC
3
-- Author Julian Andres Guarin
4
-- arithpack.vhd
5
-- This file is part of raytrac.
6
-- 
7
--     raytrac is free software: you can redistribute it and/or modify
8
--     it under the terms of the GNU General Public License as published by
9
--     the Free Software Foundation, either version 3 of the License, or
10
--     (at your option) any later version.
11
-- 
12
--     raytrac is distributed in the hope that it will be useful,
13
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
14
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
--     GNU General Public License for more details.
16
-- 
17
--     You should have received a copy of the GNU General Public License
18
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.library ieee;
19
 
20
 
21
 
22 2 jguarin200
use ieee.std_logic_1164.all;
23
 
24 8 jguarin200
 
25
 
26
 
27 2 jguarin200
package arithpack is
28 10 jguarin200
 
29 15 jguarin200
        constant rstMasterValue : std_logic := '1';
30 8 jguarin200
 
31
        component uf
32
        port (
33
                opcode          : in std_logic;
34 12 jguarin200
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : in std_logic_vector(17 downto 0);
35 13 jguarin200
                cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0);
36 8 jguarin200
                clk,rst         : in std_logic
37
        );
38
        end component;
39
 
40
        component opcoder
41
        port (
42
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
43 14 jguarin200
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
44 8 jguarin200
                opcode,addcode : in std_logic
45
        );
46
        end component;
47
 
48 2 jguarin200
 
49
        component r_a18_b18_smul_c32_r
50
        port (
51
                aclr,clock:in std_logic;
52
                dataa,datab:in std_logic_vector (17 downto 0);
53
                result: out std_logic_vector(31 downto 0)
54
        );
55
        end component;
56
        component cla_logic_block
57
        generic ( w: integer:=4);
58
        port (
59
                p,g:in std_logic_vector(w-1 downto 0);
60
                cin:in std_logic;
61
                c:out std_logic_vector(w downto 1)
62
        );
63
        end component;
64
        component rca_logic_block
65
        generic ( w : integer := 4);
66
        port (
67
                p,g: in std_logic_vector(w-1 downto 0);
68
                cin: in std_logic;
69
                c: out std_logic_vector(w downto 1)
70
        );
71
        end component;
72
        component adder
73
        generic (
74 14 jguarin200
                w                                               : integer := 4;
75
                carry_logic                             : string := "CLA";
76
                substractor_selector    : string := "YES"
77 2 jguarin200
        );
78
        port (
79
                a,b             :       in std_logic_vector (w-1 downto 0);
80
                s,ci    :       in      std_logic;
81
                result  :       out std_logic_vector (w-1 downto 0);
82
                cout    :       out std_logic
83
        );
84
        end component;
85
 
86
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.