OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package arithpack is
5
        component fastmux
6
        generic ( w : integer := 32 );
7
        port (
8
                s : in std_logic;
9
                mux0,mux1 : in std_logic_vector (w-1 downto 0);
10
                muxS : out std_logic_vector (w-1 downto 0)
11
        );
12
 
13
        component r_a18_b18_smul_c32_r
14
        port (
15
                aclr,clock:in std_logic;
16
                dataa,datab:in std_logic_vector (17 downto 0);
17
                result: out std_logic_vector(31 downto 0)
18
        );
19
        end component;
20
        component cla_logic_block
21
        generic ( w: integer:=4);
22
        port (
23
                p,g:in std_logic_vector(w-1 downto 0);
24
                cin:in std_logic;
25
                c:out std_logic_vector(w downto 1)
26
        );
27
        end component;
28
        component rca_logic_block
29
        generic ( w : integer := 4);
30
        port (
31
                p,g: in std_logic_vector(w-1 downto 0);
32
                cin: in std_logic;
33
                c: out std_logic_vector(w downto 1)
34
        );
35
        end component;
36
        component adder
37
        generic (
38
                w : ingeter := 4;
39
                carry_logic := "CLA";
40
                subtractor_selector := "YES";
41
        );
42
        port (
43
                a,b             :       in std_logic_vector (w-1 downto 0);
44
                s,ci    :       in      std_logic;
45
                result  :       out std_logic_vector (w-1 downto 0);
46
                cout    :       out std_logic
47
        );
48
        end component;
49
 
50
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.