OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 jguarin200
--! @file arithpack.vhd
2 43 jguarin200
--! @author Julian Andres Guarin Reyes
3
--! @brief Este package contiene la descripcion de los parametros y los puertos de las entidades: uf, opcoder, multiplicador, sumador, cla_logic_block y rca_logic_block.
4 16 jguarin200
-- RAYTRAC
5
-- Author Julian Andres Guarin
6
-- arithpack.vhd
7
-- This file is part of raytrac.
8
-- 
9
--     raytrac is free software: you can redistribute it and/or modify
10
--     it under the terms of the GNU General Public License as published by
11
--     the Free Software Foundation, either version 3 of the License, or
12
--     (at your option) any later version.
13
-- 
14
--     raytrac is distributed in the hope that it will be useful,
15
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
16
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17
--     GNU General Public License for more details.
18
-- 
19
--     You should have received a copy of the GNU General Public License
20
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.library ieee;
21
 
22
 
23 23 jguarin200
--! Biblioteca de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos. 
24 22 jguarin200
library ieee;
25 23 jguarin200
--! Paquete de definicion estandard de logica.
26 2 jguarin200
use ieee.std_logic_1164.all;
27
 
28 49 jguarin200
--use ieee.std_logic_unsigned.conv_integer;
29
 
30
 
31 43 jguarin200
--! Biblioteca de definicion de memorias de altera
32
library altera_mf;
33 52 jguarin200
 
34 43 jguarin200
--! Paquete para manejar memorias internas tipo M9K
35 47 jguarin200
use altera_mf.all;
36 8 jguarin200
 
37 47 jguarin200
--! Biblioteca de modulos parametrizados.
38
library lpm;
39
use lpm.all;
40 49 jguarin200
 
41
--! Package de entrada y salida de texto.
42
use std.textio.all;
43
 
44
 
45
 
46 43 jguarin200
--! Package con las definiciones de constantes y entidades, que conformaran el Rt Engine. Tambien con algunas descripciones para realizar test bench.
47 8 jguarin200
 
48 23 jguarin200
--! En general el package cuenta con entidades para instanciar, multiplicadores, sumadores/restadores y un decodificador de operaciones. 
49 2 jguarin200
package arithpack is
50 10 jguarin200
 
51 45 jguarin200
        --! TestBenchState
52
        type tbState is (abcd,axb,cxd,stop);
53
 
54 52 jguarin200
        --! Constante con el nivel l&oacute;gico de reset.
55 15 jguarin200
        constant rstMasterValue : std_logic := '1';
56 40 jguarin200
 
57 52 jguarin200
        --! Constante: periodo del reloj, para una frecuencia de 50 MHz 
58 49 jguarin200
        constant tclk : time := 20 ns;
59 52 jguarin200
 
60
        --! Constante: medio periodo de reloj.
61 49 jguarin200
        constant tclk2: time := tclk/2;
62 52 jguarin200
 
63
        --! Constante: cuarto de periodo del reloj.
64 49 jguarin200
        constant tclk4: time := tclk/4;
65
 
66
 
67 42 jguarin200
        --! Generacion de Clock y de Reset.
68
        component clock_gen
69 49 jguarin200
                generic (tclk : time := tclk);
70 42 jguarin200
                port    (clk,rst : out std_logic);
71
        end component;
72
 
73 44 jguarin200
        --! Ray Trac: Implementacion del Rt Engine
74
        component raytrac
75
        generic (
76 50 jguarin200
                testbench_generation : string := "NO";
77 44 jguarin200
                registered : string := "NO" --! Este parametro, por defecto "YES", indica si se registran o cargan en registros los vectores A,B,C,D y los codigos de operacion opcode y addcode en vez de ser conectados directamente al circuito combinatorio. \n This parameter, by default "YES", indicates if vectors A,B,C,D and operation code inputs opcode are to be loaded into a register at the beginning of the pipe rather than just connecting them to the operations decoder (opcoder). 
78
        );
79
        port (
80
                A,B,C,D                 : in std_logic_vector(18*3-1 downto 0); --! Vectores de entrada A,B,C,D, cada uno de tamano fijo: 3 componentes x 18 bits. \n Input vectors A,B,C,D, each one of fixed size: 3 components x 18 bits. 
81
                opcode,addcode  : in std_logic;                                                 --! Opcode and addcode input bits, opcode selects what operation is going to perform one of the entities included in the design and addcode what operands are going to be involved in such. \n Opcode & addcode, opcode selecciona que operacion se va a llevar a cabo dentro de una de las entidades referenciadas dentro de la descripcion, mientras que addcode decide cuales van a ser los operandos que realizaran tal. 
82
                clk,rst,ena                     : in std_logic;                                                 --! Las senales de control usual. The usual control signals.
83
                CPX,CPY,CPZ,DP0,DP1 : out std_logic_vector(31 downto 0)  --! Salidas que representan los resultados del RayTrac: pueden ser dos resultados, de dos operaciones de producto punto, o un producto cruz. Por favor revisar el documento de especificacion del dispositivo para tener mas claridad.\n  Outputs representing the result of the RayTrac entity: can be the results of two parallel dot product operations or the result of a single cross product, in order to clarify refere to the entity specification documentation.
84
 
85
 
86
        );
87
        end component;
88 42 jguarin200
 
89 47 jguarin200
        --! componente memoria instanciado mediante la biblioteca de altera
90 43 jguarin200
        component altsyncram
91 40 jguarin200
        generic (
92 43 jguarin200
                address_aclr_a          : string;
93
                clock_enable_input_a            : string;
94
                clock_enable_output_a           : string;
95
                init_file               : string;
96
                intended_device_family          : string;
97
                lpm_hint                : string;
98
                lpm_type                : string;
99
                numwords_a              : natural;
100
                operation_mode          : string;
101
                outdata_aclr_a          : string;
102
                outdata_reg_a           : string;
103
                ram_block_type          : string;
104
                widthad_a               : natural;
105
                width_a         : natural;
106
                width_byteena_a         : natural
107 40 jguarin200
        );
108 43 jguarin200
        port (
109
                        clock0  : in std_logic ;
110
                        address_a       : in std_logic_vector (8 downto 0);
111
                        q_a     : out std_logic_vector (17 downto 0)
112
        );
113 52 jguarin200
        end component;  --! Entidad uf: sus siglas significan undidad funcional. La unidad funcional se encarga de realizar las diferentes operaciones vectoriales (producto cruz &oacute; producto punto). 
114 43 jguarin200
 
115 8 jguarin200
        component uf
116 27 jguarin200
        generic (
117 32 jguarin200
                        use_std_logic_signed    : string := "NO";
118 50 jguarin200
                        testbench_generation    : string := "NO";
119 32 jguarin200
                        carry_logic     : string := "CLA"
120 27 jguarin200
        );
121 8 jguarin200
        port (
122
                opcode          : in std_logic;
123 12 jguarin200
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : in std_logic_vector(17 downto 0);
124 13 jguarin200
                cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0);
125 40 jguarin200
                        clk,rst         : in std_logic
126 8 jguarin200
        );
127
        end component;
128
 
129 52 jguarin200
        --! Entidad opcoder: opcoder decodifica la operaci&oacute;n que se va a realizar. Para tal fin coloca en la entrada de uf (unidad funcional), cuales van a ser los operandos de los multiplicadores con los que uf cuenta y adem‡s escribe en el selector de operaci&oacute;n de uf, el tipo de operaci&oacute;n a realizar.
130 8 jguarin200
        component opcoder
131 25 jguarin200
        generic (
132
                width : integer := 18;
133
                structuralDescription : string:= "NO"
134 26 jguarin200
        );
135 8 jguarin200
        port (
136
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
137 14 jguarin200
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
138 8 jguarin200
                opcode,addcode : in std_logic
139
        );
140
        end component;
141 24 jguarin200
 
142
        --! Multiplexor estructural.
143 26 jguarin200
        component fastmux is
144 24 jguarin200
        generic (
145
                width : integer := 18
146 26 jguarin200
        );
147 24 jguarin200
        port (
148 26 jguarin200
                a,b:in std_logic_vector(width-1 downto 0);
149 24 jguarin200
                s:in std_logic;
150 26 jguarin200
                c: out std_logic_vector(width-1 downto 0)
151
        );
152
        end component;
153 50 jguarin200
 
154
 
155 52 jguarin200
        --! Esta entidad corresponde al multiplicador que se instanciar&iacute;a dentro de la unidad funcional. El multiplicador registra los operandos a la entrada y el respectivo producto de la multiplicaci&oacute;n a la salida. 
156 50 jguarin200
        component lpm_mult
157 47 jguarin200
        generic (
158 50 jguarin200
                lpm_hint                : string;
159
                lpm_pipeline            : natural;
160
                lpm_representation              : string;
161
                lpm_type                : string;
162
                lpm_widtha              : natural;
163
                lpm_widthb              : natural;
164
                lpm_widthp              : natural
165 47 jguarin200
        );
166 2 jguarin200
        port (
167 59 jguarin200
                aclr    : in std_logic ;
168
                clock   : in std_logic ;
169
                datab   : in std_logic_vector (17 downto 0);
170
                dataa   : in std_logic_vector (17 downto 0);
171
                result  : out std_logic_vector (31 downto 0)
172 2 jguarin200
        );
173
        end component;
174 50 jguarin200
 
175 23 jguarin200
 
176 50 jguarin200
 
177
 
178 52 jguarin200
        --! cla_logic_block corresponde a un bloque de l&oacute;gica Carry look Ahead. Se instancia y utiliza dentro de un sumador cualquiera, pues sirve para calcular los carry out de la operaci&oacute;n. 
179 2 jguarin200
        component cla_logic_block
180 27 jguarin200
        generic ( width: integer:=4);
181 2 jguarin200
        port (
182 27 jguarin200
                p,g:in std_logic_vector(width-1 downto 0);
183 2 jguarin200
                cin:in std_logic;
184 27 jguarin200
                c:out std_logic_vector(width downto 1)
185 2 jguarin200
        );
186
        end component;
187 23 jguarin200
 
188 52 jguarin200
        --! rca_logic_block corresponde a un bloque de l&oacute;gica Ripple Carry Adder. Se instancia y utiliza dentro de un sumador cualquiera, pues sirve para calcular los carry out de la operaci&oacute;n.
189 2 jguarin200
        component rca_logic_block
190 27 jguarin200
        generic ( width : integer := 4);
191 2 jguarin200
        port (
192 27 jguarin200
                p,g: in std_logic_vector(width-1 downto 0);
193 2 jguarin200
                cin: in std_logic;
194 27 jguarin200
                c: out std_logic_vector(width downto 1)
195 2 jguarin200
        );
196
        end component;
197 23 jguarin200
 
198
        --! Entidad sumador. Esta entidad tiene un proposito bien claro: sumar. Es altamente parametrizable. Hay 3 cosas que se pueden parametrizar: el ancho del sumador, el tipo de circuito que queremos realice la suma y si el sumador estar‡ en capacidad de realizar mediante un selector restas.
199 2 jguarin200
        component adder
200
        generic (
201 27 jguarin200
                width                                   : integer := 4;
202 14 jguarin200
                carry_logic                             : string := "CLA";
203
                substractor_selector    : string := "YES"
204 2 jguarin200
        );
205
        port (
206 27 jguarin200
                a,b             :       in std_logic_vector (width-1 downto 0);
207 2 jguarin200
                s,ci    :       in      std_logic;
208 27 jguarin200
                result  :       out std_logic_vector (width-1 downto 0);
209 2 jguarin200
                cout    :       out std_logic
210
        );
211
        end component;
212 49 jguarin200
 
213 59 jguarin200
        --! Entidad raiz cuadrada para enteros de 32 bits. no worries 'jhonny g' aint no thy recepie!. 
214
        --! No es una entidad de aproximaci&acute;on, posee: etapa de decodificaci&acute e imparidad;on de direcciones,
215
        --! etapa de calculo de la raiz cuadrada mediante memoria, etapa: 
216
        component sqrt
217
 
218
        port (
219
                clk,rst :       in std_logic;   -- se&ntilde;ales de control.
220
                r               :       in std_logic_vector (31 downto 0);       --radicando
221
                s               :       out std_logic_vector (15 downto 0)
222
        );
223
        end component;
224
 
225 52 jguarin200
        --! Procedimiento para escribir std_logic_vectors en formato hexadecimal.
226 49 jguarin200
        procedure hexwrite_0(l:inout line; h: in std_logic_vector);
227 59 jguarin200
 
228
 
229
        component shifter is
230
        generic (
231
                address_width   : integer := 9;
232
                width                   : integer := 12
233
        );
234
        port (
235
                data                    : in std_logic_vector(width - 1 downto 0);
236
                address                 : out std_logic_vector (address_width-1 downto 0);
237
                zero                    : out std_logic;
238
                maxoneispair    : out std_logic
239
        );
240
        end component;
241
 
242
 
243
 
244 2 jguarin200
end package;
245 49 jguarin200
 
246 52 jguarin200
--! Funciones utilitarias, relacionadas sobre todo con el testbench
247 49 jguarin200
package body arithpack is
248 52 jguarin200
 
249 49 jguarin200
        constant hexchars : string (1 to 16) := "0123456789ABCDEF";
250
 
251
        procedure hexwrite_0(l:inout line;h:in std_logic_vector) is
252 50 jguarin200
                variable index_high,index_low,highone : integer;
253
 
254 49 jguarin200
        begin
255 50 jguarin200
                highone := h'high-h'low;
256
                for i in (highone)/4 downto 0 loop
257 49 jguarin200
                        index_low:=i*4;
258 50 jguarin200
                        if (index_low+3)>highone then
259
                                index_high := highone;
260 49 jguarin200
                        else
261
                                index_high := i*4+3;
262
                        end if;
263 50 jguarin200
                        write(l,hexchars(1+ieee.std_logic_unsigned.conv_integer(h(index_high+h'low downto index_low+h'low))));
264 49 jguarin200
                end loop;
265
        end procedure;
266
end package body arithpack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.