OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [cla_logic_block.vhd] - Blame information for rev 52

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 jguarin200
 
2 16 jguarin200
-- RAYTRAC
3 22 jguarin200
--! @file cla_logic_block.vhd
4
--! @author Julian Andres Guarin
5 52 jguarin200
--! @brief Bloque de lógica Carry Look Ahead. 
6 16 jguarin200
-- cla_logic_block.vhd
7
-- This file is part of raytrac.
8
-- 
9
--     raytrac is free software: you can redistribute it and/or modify
10
--     it under the terms of the GNU General Public License as published by
11
--     the Free Software Foundation, either version 3 of the License, or
12
--     (at your option) any later version.
13
-- 
14
--     raytrac is distributed in the hope that it will be useful,
15
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
16
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17
--     GNU General Public License for more details.
18
-- 
19
--     You should have received a copy of the GNU General Public License
20
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.library ieee;
21
 
22
-- Check out arithpack.vhd to understand in general terms what this file describes,
23
-- or checkout this file to check in detailed way what this file intends to.
24 22 jguarin200
--! Libreria de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n Signal and types definition library. This library also defines 
25
library ieee;
26
--! Paquete de definicion estandard de logica. Standard logic definition pack.
27
use ieee.std_logic_1164.all;
28 16 jguarin200
 
29 52 jguarin200
--! Entidad generadora de un bloque de c&acute;lculo de carry, carry look ahead.
30 22 jguarin200
 
31 52 jguarin200
--! En una suma A+B para cada par de bits Ai, Bi, se genera un carry out Couti, este Couti en un bloque generador de carry Carry Look Ahead, no depende del c&acute;lculo de los Carry Out anteriores, Couti-1, Couti-2,...., Cout0. Lo que hace el Carry Look Ahead Logic Block, es calcular en paralelo los valores de toso los Couti, usando las se&ntilde;ales de propagaci&oacute;n y generaci&oacute;n, Pi y Gi, y atrav&eacute;s de una formula "recurrente". Comparado con el Ripple Carry Adder el Carry Look Ahead Adder, emplear&acute; la mitad del tiempo, pero para lograrlo usar&acute; muchas elementos l&oacute;gicos en una FPGA o mas transistores en un procesos de fabricaci&oacute;n CMOS. En s&iacute;ntesis se sacrifica un mayor uso de recursos para lograr mayor desempe&ntilde;o.
32 22 jguarin200
 
33 2 jguarin200
entity cla_logic_block is
34
        generic (
35 52 jguarin200
                width : integer := 4                                                    --! Tama&ntilde;o por defecto de un bloque Carry Look Ahead.  
36 2 jguarin200
        );
37
 
38
        port (
39 52 jguarin200
                p,g : in std_logic_vector(width-1 downto 0);     --! Se&ntilde;ales de Propagaci&oacute;n y Generaci&oacute;n. 
40
                cin : in std_logic;                                                     --! Se&ntilde;al de Carry de entrada. 
41 2 jguarin200
 
42 27 jguarin200
                c : out std_logic_vector(width downto 1)                --! Carry Out.
43 2 jguarin200
        );
44
end cla_logic_block;
45
 
46
 
47 22 jguarin200
--! Arquitectura del bloque Carry Look Ahead.
48
 
49 52 jguarin200
--! El bloque de l&oacute;gica de Carry Look Ahead, se sintetiza a partir de un c&oacute;digo comportamental.
50
--! Para cada Couti, se instancia una funci&oacute;n combinatoria. La complejidad de las funciones combinatorias crece con el n&uacute;mero de Couti a calcular.
51 22 jguarin200
--! La siguiente tabla describe el funcionamiento de este circuito.    
52
 
53 2 jguarin200
architecture cla_logic_block_arch of cla_logic_block is
54
 
55
begin
56 52 jguarin200
        --! El siguiente proceso instancia funciones combinatorias para CADA UNO de los valores de Couti a calcular. En ningun momemnto se utiliza el resultado de los Cout antrerioes a Couti, agilizando el c&acute;lculo de las funciones. 
57 2 jguarin200
 
58 52 jguarin200
        --! La raz&oacute;n principal para realizar la instanciaci&oacute;n de las funciones combinatorias necesarias con un process en vez de un generate, r&acute;dica en utilizar un conjunto de variables que afecte unicamente al proceso comportamental descrito y no a la arquitectura entera. 
59 2 jguarin200
        claProc:        -- claProc instancia funciones combinatorias en las variables iCarry,
60 22 jguarin200
                                -- pero notese que los valores de iCarry(i) no dependen jamas de iCarry(i-1) a diferencia de rcaProc.
61 2 jguarin200
        process(p,g,cin)
62
 
63 27 jguarin200
                variable i,j,k :        integer range 0 to width;                                -- Variables de control de loop
64
                variable iCarry:        std_logic_vector(width downto 1);                       -- Carry Interno
65
                variable iResults:      std_logic_vector(((width+width**2)/2)-1 downto 0);       -- Resultados intermedios                       
66 2 jguarin200
                variable index:         integer;
67
        begin
68
 
69 27 jguarin200
                iCarry(width downto 1) := g(width-1 downto 0);
70 2 jguarin200
                index := 0;
71 27 jguarin200
                for j in 0 to width-1 loop
72 2 jguarin200
                        for i in 1 to j+1 loop
73
                                iResults(index) := '1';
74
                                for k in j-i+1 to j loop
75
                                        iResults(index) := iResults(index) and p(k);
76
                                end loop;
77
                                if j>=i then
78
                                        iResults(index) := iResults(index) and g(j-i);
79
                                else
80
                                        iResults(index) := iResults(index) and cin;
81
                                end if;
82
                                iCarry(j+1) := iCarry(j+1) or iResults(index);
83
                                index := index + 1;
84
                        end loop;
85
 
86
                        c(j+1) <= iCarry(j+1);
87
 
88
                end loop;
89
 
90
 
91
 
92
        end process claProc;
93
 
94
 
95
 
96
end cla_logic_block_arch;
97
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.