OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fastmux.vhd] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 jguarin200
--! @file fastmux.vhd
2
--! @brief Multiplexor.
3
--! @author Julián Andrés Guarín Reyes.
4
-- RAYTRAC
5
-- Author Julian Andres Guarin
6
-- fastmux.vhd
7
-- This file is part of raytrac.
8
-- 
9
--     raytrac is free software: you can redistribute it and/or modify
10
--     it under the terms of the GNU General Public License as published by
11
--     the Free Software Foundation, either version 3 of the License, or
12
--     (at your option) any later version.
13
-- 
14
--     raytrac is distributed in the hope that it will be useful,
15
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
16
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17
--     GNU General Public License for more details.
18
-- 
19
--     You should have received a copy of the GNU General Public License
20
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
 
25
entity fastmux is
26
        generic (
27
                width : integer := 18
28
        )
29
        port (
30
                a,b:in std_logic_vector(w-1 downto 0);
31
                s:in std_logic;
32
                c: out std_logic_vector(w-1 downto 0)
33
        )
34
end entity;
35
 
36
architecture fastmux_arch of fastmux is
37
begin
38
 
39
        muxgen:
40
        for i in 0 to w-1 generate
41
                c(i) <= (a(i) and not(s(i))) or (b(i) and s(i));
42
        end generate muxgen;
43
 
44
 
45
 
46
end fastmux_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.