OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [arithpack.vhd] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4 8 jguarin200
 
5
 
6
 
7 2 jguarin200
package arithpack is
8 10 jguarin200
 
9 8 jguarin200
        constant rstMasterValue : std_logic := '0';
10
 
11
        component uf
12
        port (
13
                opcode          : in std_logic;
14 10 jguarin200
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : in std_logic_vector(17 downto 0);
15 8 jguarin200
                cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0)
16
                clk,rst         : in std_logic
17
        );
18
        end component;
19
 
20
        component opcoder
21
        port (
22
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
23
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
24
                opcode,addcode : in std_logic
25
        );
26
        end component;
27
 
28 2 jguarin200
 
29
        component r_a18_b18_smul_c32_r
30
        port (
31
                aclr,clock:in std_logic;
32
                dataa,datab:in std_logic_vector (17 downto 0);
33
                result: out std_logic_vector(31 downto 0)
34
        );
35
        end component;
36
        component cla_logic_block
37
        generic ( w: integer:=4);
38
        port (
39
                p,g:in std_logic_vector(w-1 downto 0);
40
                cin:in std_logic;
41
                c:out std_logic_vector(w downto 1)
42
        );
43
        end component;
44
        component rca_logic_block
45
        generic ( w : integer := 4);
46
        port (
47
                p,g: in std_logic_vector(w-1 downto 0);
48
                cin: in std_logic;
49
                c: out std_logic_vector(w downto 1)
50
        );
51
        end component;
52
        component adder
53
        generic (
54 8 jguarin200
                w : integer := 4;
55 2 jguarin200
                carry_logic := "CLA";
56 8 jguarin200
                substractor_selector := "YES";
57 2 jguarin200
        );
58
        port (
59
                a,b             :       in std_logic_vector (w-1 downto 0);
60
                s,ci    :       in      std_logic;
61
                result  :       out std_logic_vector (w-1 downto 0);
62
                cout    :       out std_logic
63
        );
64
        end component;
65
 
66
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.