OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [arithpack.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4 8 jguarin200
 
5
 
6
 
7 2 jguarin200
package arithpack is
8 8 jguarin200
        constant rstMasterValue : std_logic := '0';
9
 
10
        component uf
11
        port (
12
                opcode          : in std_logic;
13
                mxfx            : in std_logic_vector(12*18-1 downto 0);
14
                cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0)
15
                clk,rst         : in std_logic
16
        );
17
        end component;
18
 
19
        component opcoder
20
        port (
21
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
22
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
23
                opcode,addcode : in std_logic
24
        );
25
        end component;
26
 
27 2 jguarin200
        component fastmux
28
        generic ( w : integer := 32 );
29
        port (
30
                s : in std_logic;
31
                mux0,mux1 : in std_logic_vector (w-1 downto 0);
32
                muxS : out std_logic_vector (w-1 downto 0)
33
        );
34
 
35
        component r_a18_b18_smul_c32_r
36
        port (
37
                aclr,clock:in std_logic;
38
                dataa,datab:in std_logic_vector (17 downto 0);
39
                result: out std_logic_vector(31 downto 0)
40
        );
41
        end component;
42
        component cla_logic_block
43
        generic ( w: integer:=4);
44
        port (
45
                p,g:in std_logic_vector(w-1 downto 0);
46
                cin:in std_logic;
47
                c:out std_logic_vector(w downto 1)
48
        );
49
        end component;
50
        component rca_logic_block
51
        generic ( w : integer := 4);
52
        port (
53
                p,g: in std_logic_vector(w-1 downto 0);
54
                cin: in std_logic;
55
                c: out std_logic_vector(w downto 1)
56
        );
57
        end component;
58
        component adder
59
        generic (
60 8 jguarin200
                w : integer := 4;
61 2 jguarin200
                carry_logic := "CLA";
62 8 jguarin200
                substractor_selector := "YES";
63 2 jguarin200
        );
64
        port (
65
                a,b             :       in std_logic_vector (w-1 downto 0);
66
                s,ci    :       in      std_logic;
67
                result  :       out std_logic_vector (w-1 downto 0);
68
                cout    :       out std_logic
69
        );
70
        end component;
71
 
72
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.