OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [arithpack.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4 8 jguarin200
 
5
 
6
 
7 2 jguarin200
package arithpack is
8 8 jguarin200
        constant rstMasterValue : std_logic := '0';
9
 
10
        component uf
11
        port (
12
                opcode          : in std_logic;
13
                mxfx            : in std_logic_vector(12*18-1 downto 0);
14
                cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0)
15
                clk,rst         : in std_logic
16
        );
17
        end component;
18
 
19
        component opcoder
20
        port (
21
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
22
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
23
                opcode,addcode : in std_logic
24
        );
25
        end component;
26
 
27 2 jguarin200
 
28
        component r_a18_b18_smul_c32_r
29
        port (
30
                aclr,clock:in std_logic;
31
                dataa,datab:in std_logic_vector (17 downto 0);
32
                result: out std_logic_vector(31 downto 0)
33
        );
34
        end component;
35
        component cla_logic_block
36
        generic ( w: integer:=4);
37
        port (
38
                p,g:in std_logic_vector(w-1 downto 0);
39
                cin:in std_logic;
40
                c:out std_logic_vector(w downto 1)
41
        );
42
        end component;
43
        component rca_logic_block
44
        generic ( w : integer := 4);
45
        port (
46
                p,g: in std_logic_vector(w-1 downto 0);
47
                cin: in std_logic;
48
                c: out std_logic_vector(w downto 1)
49
        );
50
        end component;
51
        component adder
52
        generic (
53 8 jguarin200
                w : integer := 4;
54 2 jguarin200
                carry_logic := "CLA";
55 8 jguarin200
                substractor_selector := "YES";
56 2 jguarin200
        );
57
        port (
58
                a,b             :       in std_logic_vector (w-1 downto 0);
59
                s,ci    :       in      std_logic;
60
                result  :       out std_logic_vector (w-1 downto 0);
61
                cout    :       out std_logic
62
        );
63
        end component;
64
 
65
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.