OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [memblock/] [memblock.vhd] - Blame information for rev 240

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 105 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity memblock is
5
        port (
6
 
7
                clk : in std_logic;
8
 
9
                aqa,aqb,aqc,aqd : out std_logic_vector(32*3-1 downto 0);
10
                ada,adb,adc,add : in std_logic_vector(32*3-1 downto 0);
11
                aaa,aab,aac,aad : in std_logic_vector(7*3-1 downto 0);
12
                awa,awb,awc,awd : in std_logic_vector(2 downto 0);
13
 
14
 
15
                q                       : out std_logic_vector(31 downto 0);
16
                d                       : in std_logic_vector(31 downto 0);
17
                a                       : in std_logic_vector(11 downto 0);
18
                w                       : in std_logic
19
 
20
        );
21
end entity;
22
 
23
architecture memblock_arch of memblock is
24
 
25
        component altsyncram
26
                generic (
27
                        address_reg_b           : string;
28
                        clock_enable_input_a            : string;
29
                        clock_enable_input_b            : string;
30
                        clock_enable_output_a           : string;
31
                        clock_enable_output_b           : string;
32
                        indata_reg_b            : string;
33
                        intended_device_family          : string;
34
                        lpm_type                : string;
35
                        numwords_a              : natural;
36
                        numwords_b              : natural;
37
                        operation_mode          : string;
38
                        outdata_aclr_a          : string;
39
                        outdata_aclr_b          : string;
40
                        outdata_reg_a           : string;
41
                        outdata_reg_b           : string;
42
                        power_up_uninitialized          : string;
43
                        ram_block_type          : string;
44
                        read_during_write_mode_mixed_ports              : string;
45
                        read_during_write_mode_port_a           : string;
46
                        read_during_write_mode_port_b           : string;
47
                        widthad_a               : natural;
48
                        widthad_b               : natural;
49
                        width_a         : natural;
50
                        width_b         : natural;
51
                        width_byteena_a         : natural;
52
                        width_byteena_b         : natural;
53
                        wrcontrol_wraddress_reg_b               : string
54
                );
55
                port (
56
                        wren_a  : in std_logic ;
57
                        clock0  : in std_logic ;
58
                        wren_b  : in std_logic ;
59
                        address_a : in std_logic_vector (6 downto 0);
60
                        address_b : in std_logic_vector (6 downto 0);
61
                        q_a     : out std_logic_vector (31 downto 0);
62
                        q_b     : out std_logic_vector (31 downto 0);
63
                        data_a  : in std_logic_vector (31 downto 0);
64
                        data_b  : in std_logic_vector (31 downto 0)
65
                );
66
        end component;
67
 
68
        signal bqa,bqb,bqc,bqd : std_logic_vector(32*3-1 downto 0);
69
        signal bda,bdb,bdc,bdd : std_logic_vector(32*3-1 downto 0);
70
        signal baa,bab,bac,bad : std_logic_vector(7*3-1 downto 0);
71
        signal bwa,bwb,bwc,bwd : std_logic_vector(2 downto 0);
72
 
73
begin
74
 
75
end memblock_arch;
76
 
77
 
78
 
79
begin
80
 
81
        ax : altsyncram
82
        generic map ("CLOCK0","BYPASS","BYPASS","BYPASS","BYPASS","CLOCK0","Cyclone III","altsyncram",256,256,"BIDIR_DUAL_PORT","NONE","NONE","CLOCK0","CLOCK0","FALSE","M9K","OLD_DATA","OLD_DATA","OLD_DATA",8,8,32,32,1,1,"CLOCK0");
83
        port map (wren_a,clock,wren_b,address_a,
84
                address_b => address_b,
85
                data_a => data_a,
86
                data_b => data_b,
87
                q_a => sub_wire0,
88
                q_b => sub_wire1
89
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.