OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [mul/] [mul2.vhd] - Blame information for rev 103

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 82 jguarin200
------------------------------------------------
2 94 jguarin200
--! @file mul2.vhd
3 82 jguarin200
--! @brief RayTrac Mantissa Multiplier  
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------
6
 
7
 
8
-- RAYTRAC (FP BRANCH)
9
-- Author Julian Andres Guarin
10
-- mmp.vhd
11
-- This file is part of raytrac.
12
-- 
13
--     raytrac is free software: you can redistribute it and/or modify
14
--     it under the terms of the GNU General Public License as published by
15
--     the Free Software Foundation, either version 3 of the License, or
16
--     (at your option) any later version.
17
-- 
18
--     raytrac is distributed in the hope that it will be useful,
19
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
20
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
--     GNU General Public License for more details.
22
-- 
23
--     You should have received a copy of the GNU General Public License
24
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
25
 
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29 89 jguarin200
use ieee.std_logic_arith.all;
30 82 jguarin200
use ieee.std_logic_unsigned.all;
31
 
32 86 jguarin200
entity mul2 is
33 82 jguarin200
        port (
34 86 jguarin200
                clk             : in std_logic;
35
                a32,b32         : in std_logic_vector(31 downto 0);
36
                p32                     : out std_logic_vector(31 downto 0)
37 82 jguarin200
 
38
        );
39 86 jguarin200
end mul2;
40 82 jguarin200
 
41 86 jguarin200
architecture mul2_arch of mul2 is
42 89 jguarin200
 
43
 
44 82 jguarin200
        component lpm_mult
45
        generic (
46
                lpm_hint                        : string;
47
                lpm_pipeline            : natural;
48
                lpm_representation      : string;
49
                lpm_type                        : string;
50
                lpm_widtha                      : natural;
51
                lpm_widthb                      : natural;
52
                lpm_widthp                      : natural
53
        );
54
        port (
55 94 jguarin200
                dataa   : in std_logic_vector ( lpm_widtha-1 downto 0 );
56
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
57
                result  : out std_logic_vector ( lpm_widthp-1 downto 0 )
58 82 jguarin200
        );
59
        end component;
60
 
61 94 jguarin200
        --Stage 0 signals
62 89 jguarin200
 
63 94 jguarin200
 
64
 
65
        signal s0sga,s0sgb,s0zrs,s1sgr,s2sgr:std_logic;
66
        signal s0exa,s0exb,s1exp,s2exp:std_logic_vector(7 downto 0);
67
        signal s0exp : std_logic_vector(8 downto 0);
68
        signal s0uma,s0umb:std_logic_vector(22 downto 0);
69
        signal s0ad,s0bc,s1ad,s1bc:std_logic_vector(23 downto 0);
70
        signal s0ac:std_logic_vector(35 downto 0);
71
 
72
 
73
        signal s1ac,s1umu:std_logic_vector(35 downto 0);
74
        signal s2umu:std_logic_vector(24 downto 0);
75
 
76 82 jguarin200
begin
77
 
78 89 jguarin200
        process(clk)
79 82 jguarin200
        begin
80
 
81 86 jguarin200
                if clk'event and clk='1' then
82
                        --! Registro de entrada
83
                        s0sga <= a32(31);
84
                        s0sgb <= b32(31);
85
                        s0exa <= a32(30 downto 23);
86
                        s0exb <= b32(30 downto 23);
87 94 jguarin200
                        s0uma <= a32(22 downto 0);
88
                        s0umb <= b32(22 downto 0);
89 89 jguarin200
                        --! Etapa 0 multiplicacion de la mantissa, suma de los exponentes y multiplicaci&oacute;n de los signos.
90 94 jguarin200
                        s1sgr <= s0sga xor s0sgb;
91
                        s1ad <= s0ad;
92
                        s1bc <= s0bc;
93
                        s1ac <= s0ac;
94
                        s1exp <= s0exp(7 downto 0);
95
 
96
                        --! Etapa 1 Sumas parciales
97
                        s2umu <= s1umu(35 downto 11);
98
                        s2sgr <= s1sgr;
99
                        s2exp <= s1exp;
100
 
101
                        --! Etapa 2 entregar el resultado
102
                        p32(31) <= s2sgr;
103
                        p32(30 downto 23) <= s2exp+s2umu(24);
104
                        if s2umu(24) ='1' then
105
                                p32(22 downto 0) <= s2umu(23 downto 1);
106 93 jguarin200
                        else
107 94 jguarin200
                                p32(22 downto 0) <= s2umu(22 downto 0);
108 93 jguarin200
                        end if;
109 82 jguarin200
                end if;
110
        end process;
111
 
112 94 jguarin200
        --! Combinatorial Gremlin Etapa 0 : multiplicacion de la mantissa, suma de los exponentes y multiplicaci&oacute;n de los signos.
113
 
114
        --! Multipliers
115
        mult18x18ac:lpm_mult
116 89 jguarin200
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",0,"UNSIGNED","LPM_MULT",18,18,36)
117 94 jguarin200
        port    map (s0zrs&s0uma(22 downto 6),s0zrs&s0umb(22 downto 6),s0ac);
118
        mult18x6ad:lpm_mult
119
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",0,"UNSIGNED","LPM_MULT",18,6,24)
120
        port    map (s0zrs&s0uma(22 downto 6),s0umb(5 downto 0),s0ad);
121
        mult18x6bc:lpm_mult
122
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",0,"UNSIGNED","LPM_MULT",18,6,24)
123
        port    map (s0zrs&s0umb(22 downto 6),s0uma(5 downto 0),s0bc);
124 89 jguarin200
 
125 94 jguarin200
        --! Exponent Addition 
126
        process (s0sga,s0sgb,s0exa,s0exb)
127 89 jguarin200
                variable i8s0exa,i8s0exb: integer range 0 to 255;
128
        begin
129
                i8s0exa:=conv_integer(s0exa);
130
                i8s0exb:=conv_integer(s0exb);
131
                if i8s0exa = 0 or i8s0exb = 0  then
132 94 jguarin200
                        s0exp <= (others => '0');
133
                        s0zrs <= '0';
134 89 jguarin200
                else
135 94 jguarin200
                        s0zrs<='1';
136
                        s0exp <= conv_std_logic_vector(i8s0exb+i8s0exa+129,9);
137 89 jguarin200
                end if;
138
        end process;
139
 
140 94 jguarin200
        --! Etapa 1: Suma parcial de la multiplicacion. Suma del exponente      
141
        process(s1ac,s1ad,s1bc)
142
        begin
143
                s1umu <= s1ac+s1ad(23 downto 6)+s1bc(23 downto 6);
144
        end process;
145
 
146
 
147
 
148
 
149
 
150
 
151 86 jguarin200
end mul2_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.