OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [unrm/] [shftr.vhd] - Blame information for rev 116

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 115 jguarin200
------------------------------------------------
2
--! @file ema32x2.vhd
3
--! @brief RayTrac Floating Point Adder  
4
--! @author Julián Andrés Guarín Reyes
5
--------------------------------------------------
6 114 jguarin200
 
7
 
8 115 jguarin200
-- RAYTRAC (FP BRANCH)
9
-- Author Julian Andres Guarin
10
-- ema32x2.vhd
11
-- This file is part of raytrac.
12
-- 
13
--     raytrac is free software: you can redistribute it and/or modify
14
--     it under the terms of the GNU General Public License as published by
15
--     the Free Software Foundation, either version 3 of the License, or
16
--     (at your option) any later version.
17
-- 
18
--     raytrac is distributed in the hope that it will be useful,
19
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
20
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
--     GNU General Public License for more details.
22
-- 
23
--     You should have received a copy of the GNU General Public License
24
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
25 114 jguarin200
 
26 106 jguarin200
library ieee;
27
use ieee.std_logic_1164.all;
28 108 jguarin200
use ieee.std_logic_unsigned.all;
29 114 jguarin200
use ieee.std_logic_arith.all;
30 106 jguarin200
 
31
 
32 115 jguarin200
--! Esta entidad recibe dos n&uacutemeros en formato punto flotante IEEE 754, de precision simple y devuelve las mantissas signadas y corridas, y el exponente correspondiente al resultado antes de normalizarlo al formato float. 
33
--!\nLas 2 mantissas y el exponente entran despues a la entidad add2 que suma las mantissas y entrega el resultado en formato IEEE 754.
34
entity ema32x2 is
35 106 jguarin200
        port (
36 115 jguarin200
                clk,dpc         : in std_logic;
37
                a32,b32         : in std_logic_vector (31 downto 0);
38
                c32                     : out std_logic_vector(31 downto 0)
39 106 jguarin200
        );
40 115 jguarin200
end ema32x2;
41 106 jguarin200
 
42 115 jguarin200
architecture ema32x2_arch of ema32x2 is
43
 
44 106 jguarin200
        component lpm_mult
45
        generic (
46
                lpm_hint                        : string;
47
                lpm_representation      : string;
48
                lpm_type                        : string;
49
                lpm_widtha                      : natural;
50
                lpm_widthb                      : natural;
51
                lpm_widthp                      : natural
52
        );
53
        port (
54
                dataa   : in std_logic_vector ( lpm_widtha-1 downto 0 );
55
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
56
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
57
        );
58 115 jguarin200
        end component;
59 108 jguarin200
 
60
 
61 115 jguarin200
        signal s1delta                                                                                                          : std_logic_vector(5 downto 0);
62
        signal s0delta,s1exp,s2exp,s3exp,s4exp,s5exp,s5factor,s6exp,s6factor: std_logic_vector(7 downto 0);
63
        signal s1shifter,s5factorhot9                                                                           : std_logic_vector(8 downto 0);
64
        signal s1pl,s5pl                                                                                                        : std_logic_vector(17 downto 0);
65
        signal s5postshift,s6postshift                                                                          : std_logic_vector(22 downto 0);
66
        signal s1umantshift,s1umantfixed,s1postshift,s1xorslab,s2xorslab        : std_logic_vector(23 downto 0);
67
        signal s2umantshift,s2mantfixed,s3mantfixed,s3mantshift,s4xorslab       : std_logic_vector(24 downto 0);
68
        signal s5factorhot25                                                                                            : std_logic_vector(24 downto 0);
69
        signal s4sresult,s5result,s6result                                                                      : std_logic_vector(25 downto 0); -- Signed mantissa result
70
        signal s1ph,s5ph                                                                                                        : std_logic_vector(26 downto 0);
71
        signal s0a,s0b                                                                                                          : std_logic_vector(31 downto 0); -- Float 32 bit 
72 114 jguarin200
 
73 115 jguarin200
begin
74 111 jguarin200
 
75 115 jguarin200
        process (clk)
76 108 jguarin200
        begin
77 115 jguarin200
                if clk'event and clk='1' then
78 108 jguarin200
 
79 115 jguarin200
                        --!Registro de entrada
80
                        s0a <= a32;
81
                        s0b(31) <= dpc xor b32(31);     --! Importante: Integrar el signo en el operando B
82
                        s0b(30 downto 0) <= b32(30 downto 0);
83 114 jguarin200
 
84 115 jguarin200
                        --!Etapa 0,Escoger el mayor exponente que sera el resultado desnormalizado, calcula cuanto debe ser el corrimiento de la mantissa con menor exponente y reorganiza los operandos, si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. Zero check.
85
                        --!signo,exponente,mantissa
86
                        s1delta <= s0delta(7) & (s0delta(7) xor s0delta(4))&(s0delta(7) xor s0delta(4)) & s0delta(2 downto 0);
87
                        case s0delta(7) is
88
                                when '1'  =>
89
                                        s1exp <= s0b(30 downto 23);
90
                                        s1umantshift <= s0a(31)&s0a(22 downto 0);
91
                                        s1umantfixed <= s0b(31)&s0b(22 downto 0);
92 111 jguarin200
                                when others =>
93 115 jguarin200
                                        s1exp <= s0a(30 downto 23);
94
                                        s1umantshift <= s0b(31)&s0b(22 downto 0);
95
                                        s1umantfixed <= s0a(31)&s0a(22 downto 0);
96
                        end case;
97 109 jguarin200
 
98 115 jguarin200
                        --! Etapa 1: Denormalizaci&oacute;n de la mantissas.  
99
                        case s0delta(4 downto 3) is
100
                                when "00" =>    s2umantshift <= s1umantshift(23)&s1postshift(23 downto 0);
101
                                when "01" =>    s2umantshift <= s1umantshift(23)&x"00"&s1postshift(23 downto 8);
102
                                when "10" =>    s2umantshift <= s1umantshift(23)&x"0000"&s1postshift(23 downto 16);
103
                                when others =>  s2umantshift <= (others => '0');
104
                        end case;
105
                        s2mantfixed <= s1umantfixed(23) &         ( ( ('1'&s1umantfixed(22 downto 0)) xor s1xorslab) + ( x"00000"&"000"&s1umantfixed(23)  )   );
106
                        s2exp  <= s1exp;
107 114 jguarin200
 
108 115 jguarin200
                        --! Etapa2: Signar la mantissa denormalizada.
109
                        s3mantfixed <= s2mantfixed;
110
                        s3mantshift <= s2umantshift(24)&         (  (      s2umantshift(23 downto 0)  xor s2xorslab) + ( x"00000"&"000"&s2umantshift(24)  )   );
111
                        s3exp           <= s2exp;
112 114 jguarin200
 
113 115 jguarin200
                        --! Etapa 3: Etapa 3 Realizar la suma, quitar el signo de la mantissa y codificar el corrimiento hacia la izquierda.
114
                        s4sresult       <= (s3mantshift(24)&s3mantshift)+(s3mantfixed(24)&s3mantfixed);
115
                        s4exp           <= s3exp;
116 114 jguarin200
 
117 115 jguarin200
                        --! Etapa 4: Quitar el signo a la mantissa resultante.
118
                        s5result        <= s4sresult(25)&((s4sresult(24 downto 0) xor s4xorslab)+(x"000000"&s4sresult(25)));
119
                        s5exp           <= s4exp;
120 114 jguarin200
 
121
 
122 115 jguarin200
                        --! Etapa 5: Codificar el corrimiento para la normalizacion de la mantissa resultante.
123
                        s6result                <= s5result;
124
                        s6exp                   <= s5exp;
125
                        s6factor                <= s5factor;
126
                        s6postshift             <= s5postshift;
127 114 jguarin200
 
128 115 jguarin200
                        --! Etapa 6: Entregar el resultado.
129
                        c32(31)                         <= s6result(25);
130
                        c32(30 downto 23)       <= s6exp+s5factor+x"ff";
131
                        case s6factor(4 downto 3) is
132
                                when "01"       => c32(22 downto 0) <= s6postshift(14 downto 00)&x"00";
133
                                when "10"       => c32(22 downto 0) <= s6postshift(06 downto 00)&x"0000";
134
                                when others => c32(22 downto 0)  <= s6postshift;
135
                        end case;
136 109 jguarin200
                end if;
137 108 jguarin200
        end process;
138 115 jguarin200
        --! Combinatorial gremlin, Etapa 0 el corrimiento de la mantissa con menor exponente y reorganiza los operandos,\n
139
        --! si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. 
140
        s0delta <=  s0a(30 downto 23)-s0b(30 downto 23);
141
        --! Combinatorial Gremlin, Etapa 1 Codificar el factor de corrimiento de denormalizacion y denormalizar la mantissa no fija. Signar la mantissa que se queda fija.
142
        decodeshiftfactor:
143
        process (s1delta(2 downto 0))
144 114 jguarin200
        begin
145 115 jguarin200
                case s1delta(2 downto 0) is
146
                        when "111" =>  s1shifter(8 downto 0) <= '0'&s1delta(5)&"00000"&not(s1delta(5))&'0';
147
                        when "110" =>  s1shifter(8 downto 0) <= "00"&s1delta(5)&"000"&not(s1delta(5))&"00";
148
                        when "101" =>  s1shifter(8 downto 0) <= "000"&s1delta(5)&'0'&not(s1delta(5))&"000";
149
                        when "100" =>  s1shifter(8 downto 0) <= '0'&x"10";
150
                        when "011" =>  s1shifter(8 downto 0) <= "000"&not(s1delta(5))&'0'&s1delta(5)&"000";
151
                        when "010" =>  s1shifter(8 downto 0) <= "00"&not(s1delta(5))&"000"&s1delta(5)&"00";
152
                        when "001" =>  s1shifter(8 downto 0) <= '0'&not(s1delta(5))&"00000"&s1delta(5)&'0';
153
                        when others => s1shifter(8 downto 0) <=    not(s1delta(5))&"0000000"&s1delta(5);
154 106 jguarin200
                end case;
155 111 jguarin200
        end process;
156 115 jguarin200
        denormhighshiftermult:lpm_mult
157 111 jguarin200
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,18,27)
158 115 jguarin200
        port    map (s1shifter,'1'&s1umantshift(22 downto 06),s1ph);
159
        denormlowshiftermult:lpm_mult
160 111 jguarin200
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
161 115 jguarin200
        port    map (s1shifter,s1umantshift(5 downto 0)&"000",s1pl);
162 107 jguarin200
 
163 115 jguarin200
        s1postshift(23 downto 7) <= s1ph(25 downto 9);
164
        s1postshift(06 downto 0) <= s1ph(08 downto 2) or s1pl(17 downto 11);
165
        s1xorslab(23 downto 0) <= (others => s1umantfixed(23));
166 107 jguarin200
 
167 115 jguarin200
        --! Combinatorial Gremlin, Etapa 2: Signar la mantissa denormalizada. 
168
        s2xorslab <= (others => s2umantshift(24));
169
 
170
        --! Combinatorial Gremlin, Etapa 4: Quitar el signo de la mantissa resultante.
171
        s4xorslab <= (others => s4sresult(25));
172
 
173
        --! Combinatorial Gremlin, Etapa 5: Codificar el factor de normalizacion de la mantissa resultante.
174
        normalizerdecodeshift:
175
        process (s5result,s5factorhot25)
176 108 jguarin200
        begin
177 115 jguarin200
                s5factor<=(others => '0');
178
                s5factorhot25 <= (others => '0');
179 114 jguarin200
                for i in 24 downto 0 loop
180 115 jguarin200
                        if s5result(i)='1' then
181 116 jguarin200
                                s5factor <= conv_std_logic_vector(24-i,8);
182 115 jguarin200
                                s5factorhot25(24-i) <= '1';
183 111 jguarin200
                                exit;
184
                        end if;
185 108 jguarin200
                end loop;
186 115 jguarin200
                s5factorhot9 <= (s5factorhot25(8 downto 1)or s5factorhot25(16 downto 9)or s5factorhot25(24 downto 17)) & s5factorhot25(0);
187
        end process;
188
        normhighshiftermult:lpm_mult
189
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,18,27)
190
        port    map (s5factorhot9,s5result(24 downto 7),s5ph);
191
        normlowshiftermult:lpm_mult
192
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
193
        port    map (s5factorhot9,s5result(06 downto 0)&"00",s5pl);
194
        s5postshift(22 downto 15) <= s5ph(16 downto 09);
195
        s5postshift(14 downto 06) <= s5ph(08 downto 00) or s5pl(17 downto 9);
196
        s5postshift(05 downto 00) <= s5pl(08 downto 03);
197 114 jguarin200
 
198 106 jguarin200
 
199
 
200 110 jguarin200
 
201 106 jguarin200
 
202 115 jguarin200
end ema32x2_arch;
203 106 jguarin200
 
204 108 jguarin200
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.