OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [unrm/] [unrm1.vhd] - Blame information for rev 107

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 106 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
 
5
 
6
entity unrm1 is
7
        port (
8
                clk,signdelta,signa,signb,zeroa,zerob   : in std_logic;
9
                shiftbin, shiftbout                                             : in std_logic_vector (4 downto 0);
10
                expbin,expout                                                   : out std_logic_vector(7 downto 0);
11 107 jguarin200
                clk,
12 106 jguarin200
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.