OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [opcoder.vhd] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 jguarin200
--! @file opcoder.vhd
2 22 jguarin200
--! @brief Decodificador de operacion. 
3
--! @author Julián Andrés Guarín Reyes.
4 20 jguarin200
--------------------------------------------------------------
5 16 jguarin200
-- RAYTRAC
6
-- Author Julian Andres Guarin
7
-- opcoder.vhd
8
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22 22 jguarin200
 
23
 
24
--! Libreria de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n Signal and types definition library. This library also defines 
25 3 jguarin200
library ieee;
26 22 jguarin200
--! Paquete de definicion estandard de logica. Standard logic definition pack.
27 3 jguarin200
use ieee.std_logic_1164.all;
28 24 jguarin200
--! Se usaran en esta descripcion los componentes del package arithpack.vhd.\n It will be used in this description the components on the arithpack.vhd package. 
29
use work.arithpack.all;
30 3 jguarin200
 
31 21 jguarin200
--! La entidad opcoder es la etapa combinatoria que decodifica la operacion que se va a realizar.
32 20 jguarin200
 
33 21 jguarin200
--! \n\n   
34
--! Las entradas a esta descripci&oacute;n son: los vectores A,B,C,D, las entradas opcode y addcode. Las salidas del decodificador, estar&aacute;n conectadas a las entradas de los 6 multiplicadores de una entidad uf. Los operandos de los multiplicadores, tambi&eacute;n conocidos como factores, son las salida m0f0, m0f1 para el multiplicador 1 y as&iacute; hasta el multiplicador 5. B&aacute;sicamente lo que opera aqu&iacute; en esta descripci&oacute;n es un multiplexor, el cual selecciona a trav&eacute;s de opcode y addcode qu&eacute; componentes de los vectores se conectaran a los operandos de los multiplicadores.  
35 3 jguarin200
entity opcoder is
36 25 jguarin200
        generic (
37
                width : integer := 18;
38
                structuralDescription : string:= "NO"
39 24 jguarin200
        )
40 7 jguarin200
        port (
41 25 jguarin200
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (width-1 downto 0);
42
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (width-1 downto 0);
43 14 jguarin200
 
44 3 jguarin200
                opcode,addcode : in std_logic
45
        );
46
end entity;
47
 
48 22 jguarin200
--! Arquitectura del decodificador de operaci&oacute;n.
49
 
50 21 jguarin200
--! El bloque de arquitectura del decodificador es simplemente una cascada de multiplexores. La selecci&oacute;n se hace en funci&oacute;n de las se&ntilde;ales appcode y addcode\n
51
--! La siguiente tabla describe el comportamiento de los multiplexores:\n
52
--! \n\n
53
--! 
54
--! <table>
55
--! <tr><th></th><th>OPCODE</th><th>ADDCODE</th><th>f0</th><th>f1</th><th>&nbsp;</th><th>OPCODE</th><th>ADDCODE</th><th>f0</th><th>f1</th><th>&nbsp;</th></tr> <tr><td>m0</td><td>0</td><td>0</td><td>Ax</td><td>Bx</td><td>&nbsp;</td><td>0</td><td>0</td><td>Cx</td><td>Dx</td><td>m3</td></tr> <tr><td>m0</td><td>0</td><td>1</td><td>Ax</td><td>Bx</td><td>&nbsp;</td><td>0</td><td>1</td><td>Cx</td><td>Dx</td><td>m3</td></tr> <tr><td>m0</td><td>1</td><td>0</td><td>Ay</td><td>Bz</td><td>&nbsp;</td><td>1</td><td>0</td><td>Ax</td><td>Bz</td><td>m3</td></tr> <tr><td>m0</td><td>1</td><td>1</td><td>Cy</td><td>Dz</td><td>&nbsp;</td><td>1</td><td>1</td><td>Cx</td><td>Dz</td><td>m3</td></tr> <tr><td>m1</td><td>0</td><td>0</td><td>Ay</td><td>By</td><td>&nbsp;</td><td>0</td><td>0</td><td>Cy</td><td>Dy</td><td>m4</td></tr> <tr><td>m1</td><td>0</td><td>1</td><td>Ay</td><td>By</td><td>&nbsp;</td><td>0</td><td>1</td><td>Cy</td><td>Dy</td><td>m4</td></tr> <tr><td>m1</td><td>1</td><td>0</td><td>Az</td><td>By</td><td>&nbsp;</td><td>1</td><td>0</td><td>Ax</td><td>By</td><td>m4</td></tr> <tr><td>m1</td><td>1</td><td>1</td><td>Cz</td><td>Dy</td><td>&nbsp;</td><td>1</td><td>1</td><td>Cx</td><td>Dy</td><td>m4</td></tr> <tr><td>m2</td><td>0</td><td>0</td><td>Az</td><td>Bz</td><td>&nbsp;</td><td>0</td><td>0</td><td>Cz</td><td>Dz</td><td>m5</td></tr> <tr><td>m2</td><td>0</td><td>1</td><td>Az</td><td>Bz</td><td>&nbsp;</td><td>0</td><td>1</td><td>Cz</td><td>Dz</td><td>m5</td></tr> <tr><td>m2</td><td>1</td><td>0</td><td>Az</td><td>Bx</td><td>&nbsp;</td><td>1</td><td>0</td><td>Ay</td><td>Bx</td><td>m5</td></tr> <tr><td>m2</td><td>1</td><td>1</td><td>Cz</td><td>Dx</td><td>&nbsp;</td><td>1</td><td>1</td><td>Cy</td><td>Dx</td><td>m5</td></tr></table>
56 22 jguarin200
--! \n\n
57
--! Por ejemplo para ver la tabla de verdad del m0f0, consultar el registro (línea) m0 y el atributo (columna) f0.\n
58 21 jguarin200
 
59 3 jguarin200
architecture opcoder_arch of opcoder is
60
 
61 25 jguarin200
        signal aycy,bzdz,azcz,bydy,bxdx,axcx: std_logic_vector(width-1 downto 0);
62 24 jguarin200
 
63 3 jguarin200
begin
64 22 jguarin200
        --! Proceso que describe las 2 etapas de multiplexores. 
65
        --! Proceso que describe las 2 etapas de multiplexores. Una corresponde al selector addcode, que selecciona con que operadores realizará la operación producto cruz, es decir, seleccionará si realiza la operación AxB ó CxD. En el caso del producto punto, esta etapa de multiplexación no tendrá repercusión en el resultado de la deocdificación de la operación. La otra etapa utiliza el selector opcode, el cual decide si usa los operandos decodificados en la primera etapa de multiplexores, en el caso de que opcode sea 1, seleccionando la operación producto cruz, o por el contrario seleccionando una decodificación de operadores que lleven a cabo la operación producto punto. 
66
 
67 24 jguarin200
        originalMuxGen:
68 25 jguarin200
        if behavioralDescription="NO" generate
69 24 jguarin200
 
70
                procOpcoder:
71
                process (Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz,opcode,addcode)
72
                        variable scoder : std_logic_vector (1 downto 0);
73 25 jguarin200
                begin
74
                        case (addcode) is
75
                                when "1" =>
76
                                        aycy <= Cy;
77
                                        bzdz <= Dz;
78
                                        azcz <= Cz;
79
                                        bydy <= Dy;
80
                                        axcx <= Cx;
81
                                        bxdx <= Dx;
82
                                when others =>
83
                                        aycy <= Ay;
84
                                        bzdz <= Bz;
85
                                        azcz <= Az;
86
                                        bydy <= By;
87
                                        axcx <= Ax;
88
                                        bxdx <= Bx;
89
                        end case;
90
                        case (opcode) is
91
                                when "1" =>
92
                                        m0f0 <= aycy;
93
                                        m0f1 <= bzdz;
94
                                        m1f0 <= azcz;
95
                                        m1f1 <= bydy;
96
                                        m2f0 <= axcx;
97
                                        m2f1 <= bzdz;
98
                                        m3f0 <= azcz;
99
                                        m3f1 <= bxdx;
100
                                        m4f0 <= axcx;
101
                                        m4f1 <= bydy;
102
                                        m5f0 <= aycy;
103
                                        m5f1 <= bxdx;
104 24 jguarin200
                                when others =>
105
                                        m0f0 <= Ax;
106
                                        m0f1 <= Bx;
107
                                        m1f0 <= Ay;
108
                                        m1f1 <= By;
109
                                        m2f0 <= Az;
110
                                        m2f1 <= Bz;
111
                                        m3f0 <= Cx;
112
                                        m3f1 <= Dx;
113
                                        m4f0 <= Cy;
114
                                        m4f1 <= Dy;
115
                                        m5f0 <= Cz;
116
                                        m5f1 <= Dz;
117
                        end case;
118
                end process procOpcoder;
119
        end generate originalMuxGen;
120
        fastMuxGen:
121 25 jguarin200
        if structuralDescription="YES" generate
122 24 jguarin200
                mux0 : fastmux (ay,cy,addcode,aycy);
123
                mux1 : fastmux (bz,dz,addcode,bzdz);
124
                mux2 : fastmux (az,cz,addcode,azcz);
125
                mux3 : fastmux (by,dy,addcode,bydy);
126
                mux4 : fastmux (bx,dx,addcode,bxdx);
127
                mux5 : fastmux (ax,cx,addcode,axcx);
128 3 jguarin200
 
129 24 jguarin200
                -- Segunda etapa de multiplexores 
130
                muxa : fastmux (ax,aycy,opcode,m0f0);
131
                muxb : fastmux (bx,bzdz,opcode,m0f1);
132
                muxc : fastmux (ay,azcz,opcode,m1f0);
133
                muxd : fastmux (by,bydy,opcode,m1f1);
134
                muxe : fastmux (az,azcz,opcode,m2f0);
135
                muxf : fastmux (bz,bxdx,opcode,m2f1);
136
                muxg : fastmux (cx,axcx,opcode,m3f0);
137
                muxh : fastmux (dx,bzdz,opcode,m3f1);
138
                muxi : fastmux (cy,axcx,opcode,m4f0);
139
                muxj : fastmux (dy,bydy,opcode,m4f1);
140
                muxk : fastmux (cz,aycy,opcode,m5f0);
141
                muxl : fastmux (dz,bxdx,opcode,m5f1);
142
        end generate
143 3 jguarin200
 
144
 
145 4 jguarin200
end opcoder_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.