OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [raytrac.vhd] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 jguarin200
------------------------------------------------
2
--! @file
3 18 jguarin200
--! @brief Entidad top del Rt Engine \n Rt Engine's top hierarchy.
4 22 jguarin200
--! @author Julián Andrés Guarín Reyes
5 16 jguarin200
--------------------------------------------------
6
 
7
 
8 11 jguarin200
-- RAYTRAC
9
-- Author Julian Andres Guarin
10
-- raytrac.vhd
11
-- This file is part of raytrac.
12
-- 
13
--     raytrac is free software: you can redistribute it and/or modify
14
--     it under the terms of the GNU General Public License as published by
15
--     the Free Software Foundation, either version 3 of the License, or
16
--     (at your option) any later version.
17
-- 
18
--     raytrac is distributed in the hope that it will be useful,
19
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
20
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
--     GNU General Public License for more details.
22
-- 
23
--     You should have received a copy of the GNU General Public License
24 16 jguarin200
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
25 11 jguarin200
 
26 18 jguarin200
--! Libreria de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n Signal and types definition library. This library also defines 
27 11 jguarin200
library ieee;
28 16 jguarin200
--! Paquete de definicion estandard de logica. Standard logic definition pack.
29 11 jguarin200
use ieee.std_logic_1164.all;
30 16 jguarin200
 
31 18 jguarin200
--! Se usaran en esta descripcion los componentes del package arithpack.vhd.\n It will be used in this description the components on the arithpack.vhd package. 
32 11 jguarin200
use work.arithpack.all;
33
 
34 18 jguarin200
--! La entidad raytrac es la top en la jerarquia de descripcion del Rt Engine.\n Raytrac entity is the top one on the Rt Engine description hierarchy.
35 11 jguarin200
 
36 16 jguarin200
--! RayTrac es basicamente una entidad que toma las entradas de cuatro vectores: A,B,C,D y las entradas opcode y addcode.
37 18 jguarin200
--! En el momento de la carga se llevaran a cabo las siguientes operaciones: \n
38
--! - Producto Cruz (opcode = 1):
39
--! - Cross Product (opcode = 1):
40
--! \n\n
41
--! \t Los resultados apareceran 3 clocks despues de la carga de los operadores y el codigo operacion
42
--! \n\n  
43
--! <table>
44
--! <tr>
45
--! <th></th>
46
--! <th></th><th>addcode=0</th><th></th>
47
--! </tr>
48
--! <tr>
49
--! <th>Opcode 1</th>
50
--! <td>CPX <= AxB<B> i</B></td>
51
--! <td>CPY <= AxB<B> j</B></td>
52
--! <td>CPZ <= AxB<B> k</B></td>
53
--! </tr>
54
--! </table>
55
--! \n
56
--! <table>
57
--! <tr>
58
--! <th></th>
59
--! <th></th><th>addcode=1</th><th></th>
60
--! </tr>
61
--! <tr>
62
--! <th>Opcode 1</th>
63
--! <td>CPX <= CxD<B> i</B></td>
64
--! <td>CPY <= CxD<B> j</B></td>
65
--! <td>CPZ <= CxD<B> k</B></td>
66
--! </tr>
67
--! </table>
68
--! \n
69
--! - Producto Punto (opcode = 0):
70
--! - Dot Product (opcode = 0):
71
--! \n\n
72 20 jguarin200
--! \t Los resultados se encontraran en DP0 y DP1 4 clocks despues de la carga.
73
--! \n\n 
74 18 jguarin200
--! <table>
75
--! <tr>
76
--! <th></th><th>addcode, ignorar</th> 
77
--! </tr>
78
--! <tr>
79
--! <th>opcode=0</th><td> DP0 = A.B, DP1 = C.D</td>
80
--! </tr>
81
--! </table>
82
 
83
 
84 11 jguarin200
entity raytrac is
85
        generic (
86 20 jguarin200
                registered : string := "YES" --! Este parametro, por defecto "YES", indica si se registran o cargan en registros los vectores A,B,C,D y los codigos de operacion opcode y addcode en vez de ser conectados directamente al circuito combinatorio. \n This parameter, by default "YES", indicates if vectors A,B,C,D and operation code inputs opcode are to be loaded into a register at the beginning of the pipe rather than just connecting them to the operations decoder (opcoder). 
87 11 jguarin200
        );
88
        port (
89 20 jguarin200
                A,B,C,D                 : in std_logic_vector(18*3-1 downto 0); --! Vectores de entrada A,B,C,D, cada uno de tamano fijo: 3 componentes x 18 bits. \n Input vectors A,B,C,D, each one of fixed size: 3 components x 18 bits. 
90
                opcode,addcode  : in std_logic;                                                 --! Opcode and addcode input bits, opcode selects what operation is going to perform one of the entities included in the design and addcode what operands are going to be involved in such. \n Opcode & addcode, opcode selecciona que operacion se va a llevar a cabo dentro de una de las entidades referenciadas dentro de la descripcion, mientras que addcode decide cuales van a ser los operandos que realizaran tal. 
91
                clk,rst,ena             : in std_logic;                                                 --! Las senales de control usual. The usual control signals.
92
                CPX,CPY,CPZ,DP0,DP1 : out std_logic_vector(31 downto 0)  --! Salidas que representan los resultados del RayTrac: pueden ser dos resultados, de dos operaciones de producto punto, o un producto cruz. Por favor revisar el documento de especificacion del dispositivo para tener mas claridad.\n  Outputs representing the result of the RayTrac entity: can be the results of two parallel dot product operations or the result of a single cross product, in order to clarify refere to the entity specification documentation.
93 11 jguarin200
 
94 14 jguarin200
 
95 11 jguarin200
        );
96
end raytrac;
97
 
98 18 jguarin200
--! Arquitectura general del RayTrac. \n RayTrac general architecture.
99
 
100
--! La Arquitectura general de RayTrac se consiste en 3 componentes esenciales:
101
--! - Etapa de registros para la carga de los operadores y el codigo de operacion.
102
--! - Etapa combinatoria para la seleccion de operadores, dependiendo del codigo de operacion.
103 20 jguarin200
--! - Etapa aritmetica del calculo del producto punto o el producto cruz segun el caso.
104
--! \n\n
105 21 jguarin200
--! Las senales referidas en la arquitectura simplemente son conectores asignadas en la instanciaci&oacute;n de los componentes y en la asignacion entre ellas mismas en los procesos explicitos.
106 20 jguarin200
--! \n\n
107
--! RayTrac general architecture is made of 3 essential components: 
108
--! - Register stage to load operation code and operators.
109
--! - Combinatory Stage to operator selection, depending on the operation code.
110
--! - Arithmetic stage to calculate dot product or cross product, depending on the case.
111
--! \n\n 
112
--! Referred signals in the architecture are simple connectors assigned in the components intantiation and in the assignation among them in explicit processes.  
113
 
114 18 jguarin200
 
115 11 jguarin200
architecture raytrac_arch of raytrac is
116 20 jguarin200
        signal SA,SB,SC,SD                      : std_logic_vector(18*3-1 downto 0); --! Signal to register or bypass the vector inputs.  
117 11 jguarin200
        signal sopcode,saddcode         : std_logic;
118
        signal smf00,smf01,smf10,smf11,smf20,smf21,smf30,smf31,smf40,smf41,smf50,smf51  : std_logic_vector(17 downto 0);
119
 
120
begin
121
 
122
        reg:
123
        if registered="YES" generate
124 20 jguarin200
 
125
                --! By default: the inputs are going to be registered or loaded. This process describes how the register loading is to be make. \n Por defecto: las entradas se van a registrar o cargar. Este proceso describe como la carga de los registros con los valores de las entradas se va a realizar. 
126 14 jguarin200
                procReg:
127 11 jguarin200
                process(clk,rst)
128
                begin
129
                        if rst=rstMasterValue then
130
                                SA <= (others => '0');
131
                                SB <= (others => '0');
132
                                SC <= (others => '0');
133
                                SD <= (others => '0');
134 14 jguarin200
                                sopcode <= '0';
135
                                saddcode <= '0';
136 11 jguarin200
                        elsif clk'event and clk='1' then
137
                                if ena <= '1' then
138
                                        SA <= A;
139
                                        SB <= B;
140
                                        SC <= C;
141
                                        SD <= D;
142
                                        sopcode <= opcode;
143
                                        saddcode <= addcode;
144
                                end if;
145
                        end if;
146 14 jguarin200
                end process procReg;
147 11 jguarin200
        end generate reg;
148 20 jguarin200
 
149
        notreg:
150
        if registered="NO" generate
151
                --! Just bypass or connect the inputs to the opcoder.
152
                procNotReg:
153
                process (A,B,C,D,opcode,addcode)
154
                begin
155
                        SA <= A;
156
                        SB <= B;
157
                        SC <= C;
158
                        SD <= D;
159
                        sopcode <= opcode;
160
                        saddcode <= addcode;
161
                end process procNotReg;
162
        end generate notreg;
163
 
164
        --! Instantiate Opcoder 
165 11 jguarin200
        opcdr : opcoder
166
        port map (
167
                SA(17 downto 0),SB(17 downto 0),SC(17 downto 0),SD(17 downto 0),SA(35 downto 18),SB(35 downto 18),SC(35 downto 18),SD(35 downto 18),SA(53 downto 36),SB(53 downto 36),SC(53 downto 36),SD(53 downto 36),
168
                smf00,smf01,smf10,smf11,smf20,smf21,smf30,smf31,smf40,smf41,smf50,smf51,
169
                sopcode,saddcode
170
        );
171 20 jguarin200
        --! Instantiate uf, cross product and dot product functional unit.
172 11 jguarin200
        uf0 : uf
173
        port map (
174
                sopcode,
175
                smf00,smf01,smf10,smf11,smf20,smf21,smf30,smf31,smf40,smf41,smf50,smf51,
176
                CPX,CPY,CPZ,DP0,DP1,
177
                clk,rst
178
        );
179
 
180
end raytrac_arch;
181
 
182
 
183
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.