OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [uf.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.arithpack.all;
4
 
5
-- 
6
 
7
 
8
entity uf is
9
        port (
10
                opcode  : in std_logic;
11
                vectors : in std_logic_vector (12*18-1 downto 0);
12
                clk,rst, ena : in std_logic
13
        );
14
end uf;
15
 
16
architecture uf_arch of uf is
17
 
18
        s0_opcode : signal std_logic;
19
 
20
        s1_opcode: signal std_logic;
21
 
22
        s2_opcode : signal std_logic;
23
        s2_prod0,s2_prod1,s2_prod2,s2_prod3,s2_prod4,s2_prod5,s2_sum0,s2_sum1,s2_sum2 : signal std_logic_vector (31 downto 0);
24
 
25
        s3_sum04,s3_sum25,s3_prod2,s3_prod3,s3_sum4,s3_sum5 : signal std_logic_vector ( 31 downto 0);
26
 
27
 
28
begin
29
 
30
 
31
 
32
 
33
 
34
end uf_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.