OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [uf.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.arithpack.all;
4
 
5
-- 
6
 
7
 
8
entity uf is
9
        port (
10 3 jguarin200
                opcode          : in std_logic;
11
                v0,v1,v2,v3     : in std_logic_vector (6*18-1 downto 0);
12
                cp
13
 
14 2 jguarin200
                clk,rst, ena : in std_logic
15
        );
16
end uf;
17
 
18
architecture uf_arch of uf is
19
 
20 3 jguarin200
        s_prod          : signal
21
 
22 2 jguarin200
        s0_opcode : signal std_logic;
23
 
24
        s1_opcode: signal std_logic;
25
 
26
        s2_opcode : signal std_logic;
27
        s2_prod0,s2_prod1,s2_prod2,s2_prod3,s2_prod4,s2_prod5,s2_sum0,s2_sum1,s2_sum2 : signal std_logic_vector (31 downto 0);
28
 
29
        s3_sum04,s3_sum25,s3_prod2,s3_prod3,s3_sum4,s3_sum5 : signal std_logic_vector ( 31 downto 0);
30
 
31
 
32
begin
33
 
34
 
35 3 jguarin200
        mx : for i in 0 to 5 generate
36
                mi : r_a18_b18_smul_c32_r port map (
37
                        aclr    => rst,
38
                        clock   => clk,
39
                        dataa   => v0 (i*18+17 downto i*18);
40
                        datab   => v1 (i*18+17 downto i*18);
41
 
42
 
43
 
44 2 jguarin200
 
45
 
46
 
47
end uf_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.