OpenCores
URL https://opencores.org/ocsvn/rf6809/rf6809/trunk

Subversion Repositories rf6809

[/] [rf6809/] [trunk/] [rtl/] [cpu/] [rf6809.sv] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2022  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      rf6809.sv
9
//
10
//
11
// BSD 3-Clause License
12
// Redistribution and use in source and binary forms, with or without
13
// modification, are permitted provided that the following conditions are met:
14
//
15
// 1. Redistributions of source code must retain the above copyright notice, this
16
//    list of conditions and the following disclaimer.
17
//
18
// 2. Redistributions in binary form must reproduce the above copyright notice,
19
//    this list of conditions and the following disclaimer in the documentation
20
//    and/or other materials provided with the distribution.
21
//
22
// 3. Neither the name of the copyright holder nor the names of its
23
//    contributors may be used to endorse or promote products derived from
24
//    this software without specific prior written permission.
25
//
26
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
28
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
29
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
30
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
31
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
32
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
33
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
34
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
35
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
//
37
// ============================================================================
38
 
39
import rf6809_pkg::*;
40
 
41
module rf6809(id, rst_i, clk_i, halt_i, nmi_i, irq_i, firq_i, vec_i, ba_o, bs_o, lic_o, tsc_i,
42
        rty_i, bte_o, cti_o, bl_o, lock_o, cyc_o, stb_o, we_o, ack_i, aack_i, atag_i,
43
        adr_o, dat_i, dat_o, state);
44
parameter RESET = 6'd0;
45
parameter IFETCH = 6'd1;
46
parameter DECODE = 6'd2;
47
parameter CALC = 6'd3;
48
parameter PULL1 = 6'd4;
49
parameter PUSH1 = 6'd5;
50
parameter PUSH2 = 6'd6;
51
parameter LOAD1 = 6'd7;
52
parameter LOAD2 = 6'd8;
53
parameter STORE1 = 6'd9;
54
parameter STORE2 = 6'd10;
55
parameter OUTER_INDEXING = 6'd11;
56
parameter OUTER_INDEXING2 = 6'd12;
57
parameter ICACHE1 = 6'd31;
58
parameter ICACHE2 = 6'd32;
59
parameter ICACHE3 = 6'd33;
60
parameter ICACHE4 = 6'd34;
61
parameter ICACHE5 = 6'd35;
62
parameter ICACHE6 = 6'd36;
63
parameter ICACHE7 = 6'd37;
64
parameter ICACHE8 = 6'd38;
65
parameter ICACHE9 = 6'd39;
66
parameter IBUF1 = 6'd40;
67
parameter IBUF2 = 6'd41;
68
parameter IBUF3 = 6'd42;
69
parameter IBUF4 = 6'd43;
70
parameter IBUF5 = 6'd44;
71
parameter IBUF6 = 6'd45;
72
input [5:0] id;
73
input rst_i;
74
input clk_i;
75
input halt_i;
76
input nmi_i;
77
input irq_i;
78
input firq_i;
79
input [`TRPBYTE] vec_i;
80
output reg ba_o;
81
output reg bs_o;
82
output lic_o;
83
input tsc_i;
84
input rty_i;
85
output reg [1:0] bte_o;
86
output reg [2:0] cti_o;
87
output reg [5:0] bl_o;
88
output reg cyc_o;
89
output reg stb_o;
90
output reg we_o;
91
output reg lock_o;
92
input ack_i;
93
input aack_i;
94
input [3:0] atag_i;
95
output reg [`TRPBYTE] adr_o;
96
input [`LOBYTE] dat_i;
97
output reg [`LOBYTE] dat_o;
98
output [5:0] state;
99
 
100
reg [5:0] state;
101
reg [5:0] load_what,store_what,load_what2;
102
reg [`TRPBYTE] pc;
103
wire [`TRPBYTE] pcp2 = pc + 4'd2;
104
wire [`TRPBYTE] pcp16 = pc + 5'd16;
105
wire [`HEXBYTE] insn;
106
wire icacheOn = 1'b1;
107
reg [`TRPBYTE] ibufadr, icwa;
108
reg [191:0] ibuf;
109
wire ibufhit = ibufadr==pc;
110
reg natMd,firqMd;
111
reg md32;
112
wire [`DBLBYTE] mask = 24'hFFFFFF;
113
reg [1:0] ipg;
114
reg isFar;
115
reg isOuterIndexed;
116
reg [`HEXBYTE] ir;
117
`ifdef EIGHTBIT
118
wire [9:0] ir12 = {ipg,ir[`LOBYTE]};
119
`endif
120
`ifdef TWELVEBIT
121
wire [`LOBYTE] ir12 = ir[`LOBYTE];
122
`endif
123
reg [`LOBYTE] dpr;              // direct page register
124
reg [`DBLBYTE] usppg;   // user stack pointer page
125
wire [`LOBYTE] ndxbyte;
126
reg cf,vf,zf,nf,hf,ef;
127
wire [`LOBYTE] cfx8 = cf;
128
wire [`DBLBYTE] cfx24 = {23'b0,cf};
129
reg im,firqim;
130
reg sync_state,wait_state;
131
wire [`LOBYTE] ccr = {ef,firqim,hf,im,nf,zf,vf,cf};
132
reg [`LOBYTE] acca,accb;
133
reg [`DBLBYTE] accd;
134
reg [`DBLBYTE] xr,yr,usp,ssp;
135
wire [`DBLBYTE] prod = acca * accb;
136
reg [`DBLBYTE] vect;
137
reg [`DBLBYTEP1] res;
138
reg [`LOBYTEP1] res12;
139
wire res12n = res12[BPBM1];
140
wire res12z = res12[`LOBYTE]==12'h000;
141
wire res12c = res12[bitsPerByte];
142
wire res24n = res[BPBX2M1];
143
wire res24z = res[`DBLBYTE]==24'h000000;
144
wire res24c = res[BPB*2];
145
reg [`TRPBYTE] ia;
146
reg ic_invalidate;
147
reg first_ifetch;
148
reg tsc_latched;
149
wire tsc = tsc_i|tsc_latched;
150
reg [`LOBYTE] chkpoint;
151
reg [15:0] icgot;
152
reg [23:0] btocnt;
153
reg bto;                                                        // bus timed out
154
 
155
reg [`DBLBYTE] a,b;
156
wire [`LOBYTE] b12 = b[`LOBYTE];
157
reg [`TRPBYTE] radr,wadr;
158
reg [`DBLBYTE] wdat;
159
 
160
reg nmi1,nmi_edge;
161
reg nmi_armed;
162
 
163
reg isStore;
164
reg isPULU,isPULS;
165
reg isPSHS,isPSHU;
166
reg isRTS,isRTI,isRTF;
167
reg isLEA;
168
reg isRMW;
169
 
170
// Data input path multiplexing
171 12 robfinch
reg [bitsPerByte-1:0] dati;
172 2 robfinch
always_comb
173
        dati = dat_i;
174
 
175
// Evaluate the branch conditional
176
reg takb;
177
always_comb
178
        case(ir12)
179
        `BRA,`LBRA:             takb <= 1'b1;
180
        `BRN,`LBRN:             takb <= 1'b0;
181
        `BHI,`LBHI:             takb <= !cf & !zf;
182
        `BLS,`LBLS:             takb <=  cf | zf;
183
        `BLO,`LBLO:             takb <=  cf;
184
        `BHS,`LBHS:             takb <= !cf;
185
        `BNE,`LBNE:             takb <= !zf;
186
        `BEQ,`LBEQ:             takb <=  zf;
187
        `BMI,`LBMI:             takb <=  nf;
188
        `BPL,`LBPL:             takb <= !nf;
189
        `BVS,`LBVS:             takb <=  vf;
190
        `BVC,`LBVC:             takb <= !vf;
191
        `BGT,`LBGT:             takb <= (nf & vf & !zf) | (!nf & !vf & !zf);
192
        `BGE,`LBGE:             takb <= (nf & vf) | (!nf & !vf);
193
        `BLE,`LBLE:             takb <= zf | (nf & !vf) | (!nf & vf);
194
        `BLT,`LBLT:             takb <= (nf & !vf) | (!nf & vf);
195
        default:        takb <= 1'b1;
196
        endcase
197
 
198
// This chunk of code takes care of calculating the number of bytes stacked
199
// by a push or pull operation.
200
//
201
reg [4:0] cnt;
202
always_comb
203
begin
204
        cnt =   (ir[bitsPerByte] ? 5'd1 : 5'd0) +
205
                        (ir[bitsPerByte+1] ? 5'd1 : 5'd0) +
206
                        (ir[bitsPerByte+2] ? 5'd1 : 5'd0) +
207
                        (ir[bitsPerByte+3] ? 5'd1 : 5'd0) +
208
                        (ir[bitsPerByte+4] ? 5'd2 : 5'd0) +
209
                        (ir[bitsPerByte+5] ? 5'd2 : 5'd0) +
210
                        (ir[bitsPerByte+6] ? 5'd2 : 5'd0) +
211 11 robfinch
                        (ir[bitsPerByte+7] ? (isFar ? 5'd3 : 5'd2) : 5'd0)
212 2 robfinch
                        ;
213
//  cnt = 0;
214
//      if (ir[8]) cnt = cnt + 5'd1;    // CC
215
//      if (ir[9]) cnt = cnt + md32 ? 5'd4 : 5'd1;      // A
216
//      if (ir[10]) cnt = cnt + md32 ? 5'd4 : 5'd1;     // B
217
//      if (ir[BPBM1]) cnt = cnt + 5'd1;        // DP
218
//      if (ir[12]) cnt = cnt + md32 ? 5'd4 : 5'd2;     // X
219
//      if (ir[bitsPerByte+1]) cnt = cnt + md32 ? 5'd4 : 5'd2;  // Y
220
//      if (ir[bitsPerByte+2]) cnt = cnt + md32 ? 5'd4 : 5'd2;  // U/S
221
//      if (ir[bitsPerByte+3]) cnt = cnt + 5'd4;        // PC
222
end
223
 
224
wire isRMW1 =   ir12==`NEG_DP || ir12==`COM_DP || ir12==`LSR_DP || ir12==`ROR_DP || ir12==`ASR_DP || ir12==`ASL_DP || ir12==`ROL_DP || ir12==`DEC_DP || ir12==`INC_DP ||
225
                                ir12==`NEG_NDX || ir12==`COM_NDX || ir12==`LSR_NDX || ir12==`ROR_NDX || ir12==`ASR_NDX || ir12==`ASL_NDX || ir12==`ROL_NDX || ir12==`DEC_NDX || ir12==`INC_NDX ||
226
                                ir12==`NEG_EXT || ir12==`COM_EXT || ir12==`LSR_EXT || ir12==`ROR_EXT || ir12==`ASR_EXT || ir12==`ASL_EXT || ir12==`ROL_EXT || ir12==`DEC_EXT || ir12==`INC_EXT
227
                                ;
228
 
229
wire isIndexed =
230
        ir12[7:4]==4'h6 || ir12[7:4]==4'hA || ir12[7:4]==4'hE ||
231
        ir12==`LEAX_NDX || ir12==`LEAY_NDX || ir12==`LEAS_NDX || ir12==`LEAU_NDX
232
        ;
233
reg isDblIndirect;
234 12 robfinch
wire isIndirect = ndxbyte[bitsPerByte-4] & ndxbyte[bitsPerByte-1];
235 13 robfinch
`ifdef TWELVEBIT
236
always_comb
237
        isOuterIndexed = ndxbyte[bitsPerByte-5] & ndxbyte[bitsPerByte-1];
238
`endif
239
 
240 2 robfinch
assign ndxbyte = ir[`HIBYTE];
241
 
242
// Detect type of interrupt
243
wire isINT = ir12==`INT;
244
wire isRST = vect[3:0]==4'hE;
245
wire isNMI = vect[3:0]==4'hC;
246
wire isSWI = vect[3:0]==4'hA;
247
wire isIRQ = vect[3:0]==4'h8;
248
wire isFIRQ = vect[3:0]==4'h6;
249
wire isSWI2 = vect[3:0]==4'h4;
250
wire isSWI3 = vect[3:0]==4'h2;
251
 
252
wire [`TRPBYTE] far_address = {ir[`HIBYTE],ir[`BYTE3],ir[`BYTE4]};
253
wire [`TRPBYTE] address = {ir[`HIBYTE],ir[`BYTE3]};
254
wire [`TRPBYTE] dp_address = {dpr,ir[`HIBYTE]};
255
wire [`TRPBYTE] ex_address = isFar ? far_address : address;
256
wire [`TRPBYTE] offset12 = {{bitsPerByte{ir[bitsPerByte*3-1]}},ir[`BYTE3]};
257
wire [`TRPBYTE] offset24 = {ir[`BYTE3],ir[`BYTE4]};
258
wire [`TRPBYTE] offset36 = {ir[`BYTE3],ir[`BYTE4],ir[`BYTE5]};
259
 
260
// Choose the indexing register
261
reg [`TRPBYTE] ndxreg;
262
always_comb
263
        if (bitsPerByte==8)
264
                case(ndxbyte[6:5])
265
                2'b00:  ndxreg <= xr;
266
                2'b01:  ndxreg <= yr;
267
                2'b10:  ndxreg <= {usppg,8'h00} + usp;
268
                2'b11:  ndxreg <= ssp;
269
                endcase
270
        else if (bitsPerByte==12)
271
                case(ndxbyte[10:9])
272
                2'b00:  ndxreg <= xr;
273
                2'b01:  ndxreg <= yr;
274
                2'b10:  ndxreg <= {usppg,8'h00} + usp;
275
                2'b11:  ndxreg <= ssp;
276
                endcase
277
 
278
reg [`TRPBYTE] NdxAddr;
279
always_comb
280
        if (bitsPerByte==8)
281
                casez({isOuterIndexed,ndxbyte})
282
                9'b00???????:   NdxAddr <= ndxreg + {{19{ndxbyte[BPB-4]}},ndxbyte[BPB-4:0]};
283
                9'b01???0000:   NdxAddr <= ndxreg;
284
                9'b01???0001:   NdxAddr <= ndxreg;
285
                9'b01???0010:   NdxAddr <= ndxreg - 2'd1;
286
                9'b01???0011:   NdxAddr <= ndxreg - 2'd2;
287
                9'b01???0100:   NdxAddr <= ndxreg;
288
                9'b01???0101:   NdxAddr <= ndxreg + {{BPB*2{accb[BPBM1]}},accb};
289
                9'b01???0110:   NdxAddr <= ndxreg + {{BPB*2{acca[BPBM1]}},acca};
290
                9'b01???1000:   NdxAddr <= ndxreg + offset12;
291
                9'b01???1001:   NdxAddr <= ndxreg + offset24;
292
                9'b01???1010:   NdxAddr <= ndxreg + offset36;
293
                9'b01???1011:   NdxAddr <= ndxreg + {acca,accb};
294
                9'b01???1100:   NdxAddr <= pc + offset12 + 3'd3;
295
                9'b01???1101:   NdxAddr <= pc + offset24 + 3'd4;
296
                9'b01???1110:   NdxAddr <= pc + offset36 + 3'd5;
297
                9'b01??01111:   NdxAddr <= isFar ? offset36 : offset24;
298
                9'b01??11111:   NdxAddr <= offset24;
299
                9'b10???????:   NdxAddr <= {{11{ndxbyte[BPB-4]}},ndxbyte[BPB-4:0]};
300
                9'b11???0000:   NdxAddr <= 24'd0;
301
                9'b11???0001:   NdxAddr <= 24'd0;
302
                9'b11???0010:   NdxAddr <= 24'd0;
303
                9'b11???0011:   NdxAddr <= 24'd0;
304
                9'b11???0100:   NdxAddr <= 24'd0;
305
                9'b11???0101:   NdxAddr <= {{BPB*2{accb[BPBM1]}},accb};
306
                9'b11???0110:   NdxAddr <= {{BPB*2{acca[BPBM1]}},acca};
307
                9'b11???1000:   NdxAddr <= offset12;
308
                9'b11???1001:   NdxAddr <= offset24;
309
                9'b11???1010:   NdxAddr <= offset36;
310
                9'b11???1011:   NdxAddr <= {acca,accb};
311
                9'b11???1100:   NdxAddr <= pc + offset12 + 3'd3;
312
                9'b11???1101:   NdxAddr <= pc + offset24 + 3'd4;
313
                9'b11???1110:   NdxAddr <= pc + offset36 + 3'd5;
314
                9'b11??01111:   NdxAddr <= isFar ? offset36 : offset24;
315
                9'b11??11111:   NdxAddr <= offset24;
316
                default:                NdxAddr <= 24'hFFFFFF;
317
                endcase
318
        else if (bitsPerByte==12)
319
                casez({isOuterIndexed,ndxbyte})
320
                13'b00???????????:      NdxAddr <= ndxreg + {{27{ndxbyte[BPB-4]}},ndxbyte[BPB-4:0]};
321
                13'b01???00000000:      NdxAddr <= ndxreg;
322
                13'b01???00000001:      NdxAddr <= ndxreg;
323
                13'b01???00000010:      NdxAddr <= ndxreg - 2'd1;
324
                13'b01???00010010:      NdxAddr <= ndxreg - 2'd2;
325
                13'b01???00100010:      NdxAddr <= ndxreg - 2'd3;
326
                13'b01???00000011:      NdxAddr <= ndxreg - 2'd2;
327
                13'b01???00000100:      NdxAddr <= ndxreg;
328
                13'b01???00000101:      NdxAddr <= ndxreg + {{BPB*2{accb[BPBM1]}},accb};
329
                13'b01???00000110:      NdxAddr <= ndxreg + {{BPB*2{acca[BPBM1]}},acca};
330
                13'b01???00001000:      NdxAddr <= ndxreg + offset12;
331
                13'b01???00001001:      NdxAddr <= ndxreg + offset24;
332
                13'b01???00001010:      NdxAddr <= ndxreg + offset36;
333
                13'b01???00001011:      NdxAddr <= ndxreg + {acca,accb};
334
                13'b01???00001100:      NdxAddr <= pc + offset12 + 3'd3;
335
                13'b01???00001101:      NdxAddr <= pc + offset24 + 3'd4;
336
                13'b01???00001110:      NdxAddr <= pc + offset36 + 3'd5;
337
                13'b01??000001111:      NdxAddr <= isFar ? offset36 : offset24;
338
                13'b01??100001111:      NdxAddr <= offset24;
339
                13'b01???10000000:      NdxAddr <= 24'd0;
340
                13'b01???10000001:      NdxAddr <= 24'd0;
341
                13'b01???10000010:      NdxAddr <= 24'd0;
342
                13'b01???10000011:      NdxAddr <= 24'd0;
343
                13'b01???10000100:      NdxAddr <= 24'd0;
344
                13'b01???10000101:      NdxAddr <= {{BPB*2{accb[BPBM1]}},accb};
345
                13'b01???10000110:      NdxAddr <= {{BPB*2{acca[BPBM1]}},acca};
346
                13'b01???10001000:      NdxAddr <= offset12;
347
                13'b01???10001001:      NdxAddr <= offset24;
348
                13'b01???10001010:      NdxAddr <= offset24;
349
                13'b01???10001011:      NdxAddr <= {acca,accb};
350
                13'b01???10001100:      NdxAddr <= pc + offset12 + 3'd3;
351
                13'b01???10001101:      NdxAddr <= pc + offset24 + 3'd4;
352
                13'b01???10001110:      NdxAddr <= pc + offset36 + 3'd5;
353
                13'b01??010001111:      NdxAddr <= isFar ? offset36 : offset24;
354
                13'b01??110001111:      NdxAddr <= offset24;
355
                13'b10???????????:      NdxAddr <= {{15{ndxbyte[BPB-4]}},ndxbyte[BPB-4:0]};
356
                13'b11???00000000:      NdxAddr <= 24'd0;
357
                13'b11???00000001:      NdxAddr <= 24'd0;
358
                13'b11???00000010:      NdxAddr <= 24'd0;
359
                13'b11???00000011:      NdxAddr <= 24'd0;
360
                13'b11???00000100:      NdxAddr <= 24'd0;
361
                13'b11???00000101:      NdxAddr <= {{BPB*2{accb[BPBM1]}},accb};
362
                13'b11???00000110:      NdxAddr <= {{BPB*2{acca[BPBM1]}},acca};
363
                13'b11???00001000:      NdxAddr <= offset12;
364
                13'b11???00001001:      NdxAddr <= offset24;
365
                13'b11???00001010:      NdxAddr <= offset36;
366
                13'b11???00001011:      NdxAddr <= {acca,accb};
367
                13'b11???00001100:      NdxAddr <= pc + offset12 + 3'd3;
368
                13'b11???00001101:      NdxAddr <= pc + offset24 + 3'd4;
369
                13'b11???00001110:      NdxAddr <= pc + offset36 + 3'd5;
370
                13'b11??000001111:      NdxAddr <= isFar ? offset36 : offset24;
371
                13'b11??000011111:      NdxAddr <= offset24;
372
                default:                NdxAddr <= 24'hFFFFFF;
373
                endcase
374
 
375
// Compute instruction length depending on indexing byte
376
reg [2:0] insnsz;
377
always_comb
378
        if (bitsPerByte==8)
379
                casez(ndxbyte)
380
                8'b0???????:    insnsz <= 4'h2;
381
                8'b1??00000:    insnsz <= 4'h2;
382
                8'b1??00001:    insnsz <= 4'h2;
383
                8'b1??00010:    insnsz <= 4'h2;
384
                8'b1??00011:    insnsz <= 4'h2;
385
                8'b1??00100:    insnsz <= 4'h2;
386
                8'b1??00101:    insnsz <= 4'h2;
387
                8'b1??00110:    insnsz <= 4'h2;
388
                8'b1??01000:    insnsz <= 4'h3;
389
                8'b1??01001:    insnsz <= 4'h4;
390
                8'b1??01010:    insnsz <= 4'h5;
391
                8'b1??01011:    insnsz <= 4'h2;
392
                8'b1??01100:    insnsz <= 4'h3;
393
                8'b1??01101:    insnsz <= 4'h4;
394
                8'b1??01110:    insnsz <= 4'h5;
395
                8'b1??01111:    insnsz <= isFar ? 4'h5 : 4'h4;
396
                8'b1??11111:    insnsz <= 4'h4;
397
                default:        insnsz <= 4'h2;
398
                endcase
399
        else if (bitsPerByte==12)
400
                casez(ndxbyte)
401
                12'b0???????????:       insnsz <= 4'h2;
402
                12'b1???00000000:       insnsz <= 4'h2;
403
                12'b1???00000001:       insnsz <= 4'h2;
404
                12'b1???00000010:       insnsz <= 4'h2;
405
                12'b1???00000011:       insnsz <= 4'h2;
406
                12'b1???00000100:       insnsz <= 4'h2;
407
                12'b1???00000101:       insnsz <= 4'h2;
408
                12'b1???00000110:       insnsz <= 4'h2;
409
                12'b1???00001000:       insnsz <= 4'h3;
410
                12'b1???00001001:       insnsz <= 4'h4;
411
                12'b1???00001010:       insnsz <= 4'h5;
412
                12'b1???00001011:       insnsz <= 4'h2;
413
                12'b1???00001100:       insnsz <= 4'h3;
414
                12'b1???00001101:       insnsz <= 4'h4;
415
                12'b1???00001110:       insnsz <= 4'h5;
416
                12'b1??000001111:       insnsz <= isFar ? 4'h5 : 4'h4;
417
                12'b1??000011111:       insnsz <= 4'h4;
418
                default:        insnsz <= 4'h2;
419
                endcase
420
 
421
// Source registers for transfer or exchange instructions.
422
reg [`DBLBYTE] src1,src2;
423
always_comb
424
        case(ir[bitsPerByte+7:bitsPerByte+4])
425
        4'b0000:        src1 <= {acca[`LOBYTE],accb[`LOBYTE]};
426
        4'b0001:        src1 <= xr;
427
        4'b0010:        src1 <= yr;
428
        4'b0011:        src1 <= usp;
429
        4'b0100:        src1 <= ssp;
430
        4'b0101:        src1 <= pcp2;
431
        4'b1000:        src1 <= acca[`LOBYTE];
432
        4'b1001:        src1 <= accb[`LOBYTE];
433
        4'b1010:        src1 <= ccr;
434
        4'b1011:        src1 <= dpr;
435
        4'b1100:        src1 <= usppg;
436
        4'b1101:        src1 <= 24'h0000;
437
        4'b1110:        src1 <= 24'h0000;
438
        4'b1111:        src1 <= 24'h0000;
439
        default:        src1 <= 24'h0000;
440
        endcase
441
always_comb
442
        case(ir[bitsPerByte+3:bitsPerByte])
443
        4'b0000:        src2 <= {acca[`LOBYTE],accb[`LOBYTE]};
444
        4'b0001:        src2 <= xr;
445
        4'b0010:        src2 <= yr;
446
        4'b0011:        src2 <= usp;
447
        4'b0100:        src2 <= ssp;
448
        4'b0101:        src2 <= pcp2;
449
        4'b1000:        src2 <= acca[`LOBYTE];
450
        4'b1001:        src2 <= accb[`LOBYTE];
451
        4'b1010:        src2 <= ccr;
452
        4'b1011:        src2 <= dpr;
453
        4'b1100:        src2 <= usppg;
454
        4'b1101:        src2 <= 24'h0000;
455
        4'b1110:        src2 <= 24'h0000;
456
        4'b1111:        src2 <= 24'h0000;
457
        default:        src2 <= 24'h0000;
458
        endcase
459
 
460
wire isAcca     =       ir12==`NEGA || ir12==`COMA || ir12==`LSRA || ir12==`RORA || ir12==`ASRA || ir12==`ASLA ||
461
                                ir12==`ROLA || ir12==`DECA || ir12==`INCA || ir12==`TSTA || ir12==`CLRA ||
462
                                ir12==`SUBA_IMM || ir12==`CMPA_IMM || ir12==`SBCA_IMM || ir12==`ANDA_IMM || ir12==`BITA_IMM ||
463
                                ir12==`LDA_IMM || ir12==`EORA_IMM || ir12==`ADCA_IMM || ir12==`ORA_IMM || ir12==`ADDA_IMM ||
464
                                ir12==`SUBA_DP || ir12==`CMPA_DP || ir12==`SBCA_DP || ir12==`ANDA_DP || ir12==`BITA_DP ||
465
                                ir12==`LDA_DP || ir12==`EORA_DP || ir12==`ADCA_DP || ir12==`ORA_DP || ir12==`ADDA_DP ||
466
                                ir12==`SUBA_NDX || ir12==`CMPA_NDX || ir12==`SBCA_NDX || ir12==`ANDA_NDX || ir12==`BITA_NDX ||
467
                                ir12==`LDA_NDX || ir12==`EORA_NDX || ir12==`ADCA_NDX || ir12==`ORA_NDX || ir12==`ADDA_NDX ||
468
                                ir12==`SUBA_EXT || ir12==`CMPA_EXT || ir12==`SBCA_EXT || ir12==`ANDA_EXT || ir12==`BITA_EXT ||
469
                                ir12==`LDA_EXT || ir12==`EORA_EXT || ir12==`ADCA_EXT || ir12==`ORA_EXT || ir12==`ADDA_EXT
470
                                ;
471
 
472
wire [`DBLBYTE] acc = isAcca ? acca : accb;
473
 
474
wire [`DBLBYTE] sum12 = src1 + src2;
475
 
476
always_ff @(posedge clk_i)
477
if (state==DECODE) begin
478
        isStore <=      ir12==`STA_DP || ir12==`STB_DP || ir12==`STD_DP || ir12==`STX_DP || ir12==`STY_DP || ir12==`STU_DP || ir12==`STS_DP ||
479
                                ir12==`STA_NDX || ir12==`STB_NDX || ir12==`STD_NDX || ir12==`STX_NDX || ir12==`STY_NDX || ir12==`STU_NDX || ir12==`STS_NDX ||
480
                                ir12==`STA_EXT || ir12==`STB_EXT || ir12==`STD_EXT || ir12==`STX_EXT || ir12==`STY_EXT || ir12==`STU_EXT || ir12==`STS_EXT
481
                                ;
482
        isPULU <= ir12==`PULU;
483
        isPULS <= ir12==`PULS;
484
        isPSHS <= ir12==`PSHS;
485
        isPSHU <= ir12==`PSHU;
486
        isRTI <= ir12==`RTI;
487
        isRTS <= ir12==`RTS;
488
        isRTF <= ir12==`RTF;
489
        isLEA <= ir12==`LEAX_NDX || ir12==`LEAY_NDX || ir12==`LEAU_NDX || ir12==`LEAS_NDX;
490
        isRMW <= isRMW1;
491
end
492
 
493
wire hit0, hit1;
494
wire ihit = hit0 & hit1;
495
reg rhit0;
496
 
497
assign lic_o =  (state==CALC && !isRMW) ||
498
                                (state==DECODE && (
499
                                        ir12==`NOP || ir12==`ORCC || ir12==`ANDCC || ir12==`DAA || ir12==`LDMD || ir12==`TFR || ir12==`EXG ||
500
                                        ir12==`NEGA || ir12==`COMA || ir12==`LSRA || ir12==`RORA || ir12==`ASRA || ir12==`ROLA || ir12==`DECA || ir12==`INCA || ir12==`TSTA || ir12==`CLRA ||
501
                                        ir12==`NEGB || ir12==`COMB || ir12==`LSRB || ir12==`RORB || ir12==`ASRB || ir12==`ROLB || ir12==`DECB || ir12==`INCB || ir12==`TSTB || ir12==`CLRB ||
502
                                        ir12==`ASLD || ir12==`TSTD || //ir12==`ADDR ||
503
                                        ir12==`SUBA_IMM || ir12==`CMPA_IMM || ir12==`SBCA_IMM || ir12==`ANDA_IMM || ir12==`BITA_IMM || ir12==`LDA_IMM || ir12==`EORA_IMM || ir12==`ADCA_IMM || ir12==`ORA_IMM || ir12==`ADDA_IMM ||
504
                                        ir12==`SUBB_IMM || ir12==`CMPB_IMM || ir12==`SBCB_IMM || ir12==`ANDB_IMM || ir12==`BITB_IMM || ir12==`LDB_IMM || ir12==`EORB_IMM || ir12==`ADCB_IMM || ir12==`ORB_IMM || ir12==`ADDB_IMM ||
505
                                        ir12==`ANDD_IMM || ir12==`ADDD_IMM || ir12==`ADCD_IMM || ir12==`SUBD_IMM || ir12==`SBCD_IMM || ir12==`LDD_IMM ||
506
                                        ir12==`LDQ_IMM || ir12==`CMPD_IMM || ir12==`CMPX_IMM || ir12==`CMPY_IMM || ir12==`CMPU_IMM || ir12==`CMPS_IMM ||
507
                                        ir12==`BEQ || ir12==`BNE || ir12==`BMI || ir12==`BPL || ir12==`BVS || ir12==`BVC || ir12==`BRA || ir12==`BRN ||
508
                                        ir12==`BHI || ir12==`BLS || ir12==`BHS || ir12==`BLO ||
509
                                        ir12==`BGT || ir12==`BGE || ir12==`BLT || ir12==`BLE ||
510
                                        ir12==`LBEQ || ir12==`LBNE || ir12==`LBMI || ir12==`LBPL || ir12==`LBVS || ir12==`LBVC || ir12==`LBRA || ir12==`LBRN ||
511
                                        ir12==`LBHI || ir12==`LBLS || ir12==`LBHS || ir12==`LBLO ||
512
                                        ir12==`LBGT || ir12==`LBGE || ir12==`LBLT || ir12==`LBLE
513
                                        )
514
                                ) ||
515
                                (state==STORE2 && (
516
                                        (store_what==`SW_ACCQ3124 && wadr[1:0]==2'b00) ||
517
                                        (store_what==`SW_ACCQ70) ||
518
                                        (store_what==`SW_ACCA && !(isINT || isPSHS || isPSHU)) ||
519
                                        (store_what==`SW_ACCB && !(isINT || isPSHS || isPSHU)) ||
520
                                        (store_what==`SW_ACCDH && wadr[1:0]!=2'b11) ||
521
                                        (store_what==`SW_ACCDL) ||
522
                                        (store_what==`SW_X3124 && wadr[1:0]==2'b00 && !(isINT || isPSHS || isPSHU)) ||
523
                                        (store_what==`SW_XL && !(isINT || isPSHS || isPSHU)) ||
524
                                        (store_what==`SW_YL && !(isINT || isPSHS || isPSHU)) ||
525
                                        (store_what==`SW_USPL && !(isINT || isPSHS || isPSHU)) ||
526
                                        (store_what==`SW_SSPL && !(isINT || isPSHS || isPSHU)) ||
527
                                        (store_what==`SW_PCL && !(isINT || isPSHS || isPSHU) && !(ir12==`JSR_NDX && isIndirect)) ||
528
                                        (store_what==`SW_ACCA70 && !(isINT || isPSHS || isPSHU)) ||
529
                                        (store_what==`SW_ACCB70 && !(isINT || isPSHS || isPSHU))
530
                                )) ||
531
                                (state==PUSH2 && ir[`HIBYTE]==12'h000 && !isINT) ||
532
                                (state==PULL1 && ir[`HIBYTE]==12'h000) ||
533
                                (state==OUTER_INDEXING2 && isLEA) ||
534
                                (state==LOAD2 &&
535
                                        (load_what==`LW_ACCA && !(isRTI || isPULU || isPULS)) ||
536
                                        (load_what==`LW_ACCB && !(isRTI || isPULU || isPULS)) ||
537
                                        (load_what==`LW_DPR && !(isRTI || isPULU || isPULS)) ||
538
                                        (load_what==`LW_XL && !(isRTI || isPULU || isPULS)) ||
539
                                        (load_what==`LW_YL && !(isRTI || isPULU || isPULS)) ||
540
                                        (load_what==`LW_USPL && !(isRTI || isPULU || isPULS)) ||
541
                                        (load_what==`LW_SSPL && !(isRTI || isPULU || isPULS)) ||
542
                                        (load_what==`LW_PCL) ||
543
                                        (load_what==`LW_IAL && !isOuterIndexed && isLEA) ||
544
                                        (load_what==`LW_IA3124 && radr[1:0]==2'b00 && !isOuterIndexed && isLEA)
545
                                )
546
                                ;
547
 
548
wire lock_bus = load_what==`LW_XH || load_what==`LW_YH || load_what==`LW_USPH || load_what==`LW_SSPH ||
549
                                load_what==`LW_PCH || load_what==`LW_BH || load_what==`LW_IAH || load_what==`LW_PC3124 ||
550
                                load_what==`LW_IA3124 || load_what==`LW_B3124 ||
551
                                load_what==`LW_X3124 || load_what==`LW_Y3124 || load_what==`LW_USP3124 || load_what==`LW_SSP3124 ||
552
                                isRMW ||
553
                                store_what==`SW_ACCDH || store_what==`SW_XH || store_what==`SW_YH || store_what==`SW_USPH || store_what==`SW_SSPH ||
554
                                store_what==`SW_PCH || store_what==`SW_PC3124 || store_what==`SW_ACCQ3124 ||
555
                                store_what==`SW_X3124 || store_what==`SW_Y3124 || store_what==`SW_USP3124 || store_what==`SW_SSP3124
556
                                ;
557
 
558
wire isPrefix = ir12==`PG2 || ir12==`PG3 || ir12==`OUTER;
559
 
560
reg rty;
561
reg [5:0] waitcnt;
562
reg [3:0] iccnt;
563
reg [bitsPerByte-1:0] icbuf [0:15];
564
reg [bitsPerByte*16-1:0] icbuf2;
565
reg [15:0] outstanding; // Outstanding async read cycles.
566
integer n4;
567
 
568
rf6809_icachemem u1
569
(
570
        .wclk(clk_i),
571
        .wce(1'b1),
572
        .wr(state==ICACHE6),
573
        .wa(icwa[11:0]),
574
        .i(icbuf2),
575
        .rclk(~clk_i),
576
        .rce(1'b1),
577
        .pc(pc[11:0]),
578
        .insn(insn)
579
);
580
 
581
rf6809_itagmem u2
582
(
583
        .wclk(clk_i),
584
        .wce(1'b1),
585
        .wr(state==ICACHE6),
586
        .wa(icwa[`TRPBYTE]),
587
        .invalidate(ic_invalidate),
588
        .rclk(~clk_i),
589
        .rce(1'b1),
590
        .pc(pc),
591
        .hit0(hit0),
592
        .hit1(hit1)
593
);
594
 
595
// For asynchronous reads,
596
// The read response might come back in any order (the packets could loop
597
// around in the network.
598
// We need to buffer and reorder the response correctly.
599
 
600
integer n3;
601
always_ff @(posedge clk_i)
602
if (rst_i) begin
603
        icgot <= 16'h0;
604
        for (n3 = 0; n3 < 16; n3 = n3 + 1)
605
                icbuf[n3] <= {bitsPerByte{1'b0}};
606
end
607
else begin
608
        if (state==ICACHE1)
609
                icgot <= 16'h0;
610
`ifdef SUPPORT_AREAD
611
        if (aack_i) begin
612
                icgot[atag_i] <= 1'b1;
613
                icbuf[atag_i] <= dati;
614
        end
615
`else
616
        if (ack_i) begin
617
                icgot[adr_o[3:0]] <= 1'b1;
618
                icbuf[adr_o[3:0]] <= dati;
619
        end
620
`endif
621
end
622
 
623
genvar g;
624
generate begin : gIcin
625
for (g = 0; g < 16; g = g + 1)
626
        always_comb
627
                icbuf2[(g+1)*bitsPerByte-1:g*bitsPerByte] <= icbuf[g];
628
end
629
endgenerate
630
 
631
// Bus timeout counter
632
always_ff @(posedge clk_i)
633
if (rst_i) begin
634
        btocnt <= 24'd0;
635
end
636
else begin
637
        if (cyc_o & stb_o)
638
                btocnt <= btocnt + 2'd1;
639
        else
640
                btocnt <= 24'd0;
641
end
642
always_comb
643
        bto = btocnt >= 24'd10000;
644
 
645
// Count  milliseconds
646
// Based on a count determined by the clock frequency
647
// 40MHz is assumed.
648
reg [23:0] ns_count;    // The counter to get to 1ms
649
reg [35:0] ms_count;    // Count of number of milliseconds
650
 
651
always_ff @(posedge clk_i)
652
if (rst_i) begin
653
        ns_count <= 16'd0;
654
        ms_count <= 36'd0;
655
end
656
else begin
657
        ns_count <= ns_count + 2'd1;
658
        if (ns_count>=24'd40000) begin
659
                ns_count <= 24'h0;
660
                ms_count <= ms_count + 2'd1;
661
        end
662
end
663
 
664
`ifdef SUPPORT_CHECKPOINT
665
always_ff @(posedge clk_i)
666
if (rst_i)
667
        chkpoint <= 12'h000;
668
else begin
669
        if (ns_count==16'd40000) begin
670
                if (ms_count[9:0]==10'h3FF)
671
                        chkpoint <= 12'hFFF;
672
        end
673
        if (state==STORE1 && (wadr=={{BPB*3-8{1'b1}},8'hE1}))
674
                chkpoint <= 12'h000;
675
end
676
`endif
677
 
678
always_ff @(posedge clk_i)
679
        tsc_latched <= tsc_i;
680
 
681
always_ff @(posedge clk_i)
682
        nmi1 <= nmi_i;
683
always_ff @(posedge clk_i)
684
`ifdef SUPPORT_CHECKPOINT
685
        if (ms_count[9:0]==10'h3FF && chkpoint!=12'h000)
686
                nmi_edge <= 1'b1;
687
        else
688
`endif
689
        if (nmi_i & !nmi1)
690
                nmi_edge <= 1'b1;
691
        else if (state==DECODE && ir12==`INT)
692
                nmi_edge <= 1'b0;
693
 
694
reg [9:0] rst_cnt;
695
 
696
always @(posedge clk_i)
697
if (rst_i) begin
698
        wb_nack();
699
        rty <= `FALSE;
700
        rst_cnt <= {id,4'd0};
701
        next_state(RESET);
702
        sync_state <= `FALSE;
703
        wait_state <= `FALSE;
704
        md32 <= `FALSE;
705
        ipg <= 2'b00;
706
        isFar <= `FALSE;
707 13 robfinch
`ifdef EIGHTBIT
708 2 robfinch
        isOuterIndexed <= `FALSE;
709 13 robfinch
`endif
710 2 robfinch
        dpr <= 12'h000;
711
        ibufadr <= {BPB*3{1'b0}};
712
//      pc <= 24'hFFFFFE;
713
        pc <= {{BPB*3-1{1'b1}},1'b0};   // FF...FE
714
        ir <= {4{`NOP}};
715
        ibuf <= {4{`NOP}};
716
        im <= 1'b1;
717
        firqim <= 1'b1;
718
        nmi_armed <= `FALSE;
719
        ic_invalidate <= `TRUE;
720
        first_ifetch <= `TRUE;
721
        acca <= 12'h0;
722
        accb <= 12'h0;
723
        accd <= 24'h0;
724
        xr <= 24'h0;
725
        yr <= 24'h0;
726
        usp <= 24'h0;
727
        ssp <= 24'h0;
728
        if (halt_i) begin
729
                ba_o <= 1'b1;
730
                bs_o <= 1'b1;
731
        end
732
        else begin
733
                ba_o <= 1'b0;
734
                bs_o <= 1'b0;
735
        end
736
        outstanding <= 16'h0;
737
        iccnt <= 4'h0;
738
end
739
else begin
740
 
741
// Release any bus lock during the last state of an instruction.
742
if (lic_o && ack_i && (state==STORE2 || state==LOAD2))
743
        lock_o <= 1'b0;
744
 
745
case(state)
746
RESET:
747
        if (rst_cnt==10'd0) begin
748
                ic_invalidate <= `FALSE;
749
                ba_o <= 1'b0;
750
                bs_o <= 1'b0;
751
                vect <= `RST_VECT;
752
                radr <= `RST_VECT;
753
                load_what <= `LW_PCH;
754
                next_state(LOAD1);
755
        end
756
        else
757
                rst_cnt <= rst_cnt - 2'd1;
758
 
759
IFETCH:
760
        begin
761
                tIfetch();
762
                tWriteback();
763
        end
764
DECODE: tDecode();
765
LOAD1:  tLoad1();
766
LOAD2:  tLoad2();
767
CALC:           tExecute();
768
STORE1: tStore1();
769
STORE2: tStore2();
770
 
771
// ============================================================================
772
// ============================================================================
773
PUSH1:
774
        begin
775
                next_state(PUSH2);
776
                if (isINT | isPSHS) begin
777
                        wadr <= (ssp - cnt);
778
                        ssp <= (ssp - cnt);
779
                end
780
                else begin      // PSHU
781
                        wadr <= ({usppg,8'h00} + usp - cnt);
782
                        usp <= (usp - cnt);
783
                end
784
        end
785
PUSH2:
786
        begin
787
                next_state(STORE1);
788
                if (ir[bitsPerByte]) begin
789
                        store_what <= `SW_CCR;
790
                        ir[bitsPerByte] <= 1'b0;
791
                end
792
                else if (ir[bitsPerByte+1]) begin
793
                        store_what <= `SW_ACCA;
794
                        ir[bitsPerByte+1] <= 1'b0;
795
                end
796
                else if (ir[bitsPerByte+2]) begin
797
                        store_what <= `SW_ACCB;
798
                        ir[bitsPerByte+2] <= 1'b0;
799
                end
800
                else if (ir[bitsPerByte+3]) begin
801
                        store_what <= `SW_DPR;
802
                        ir[bitsPerByte+3] <= 1'b0;
803
                end
804
                else if (ir[bitsPerByte+4]) begin
805
                        store_what <= `SW_XH;
806
                        ir[bitsPerByte+4] <= 1'b0;
807
                end
808
                else if (ir[bitsPerByte+5]) begin
809
                        store_what <= `SW_YH;
810
                        ir[bitsPerByte+5] <= 1'b0;
811
                end
812
                else if (ir[bitsPerByte+6]) begin
813
                        if (isINT | isPSHS)
814
                                store_what <= `SW_USPH;
815
                        else
816
                                store_what <= `SW_SSPH;
817
                        ir[bitsPerByte+6] <= 1'b0;
818
                end
819
                else if (ir[bitsPerByte+7]) begin
820
                        store_what <= isFar ? `SW_PC2316 : `SW_PCH;
821
                        ir[bitsPerByte+7] <= 1'b0;
822
                end
823
                else begin
824
                        if (isINT) begin
825
                                radr <= vect;
826
                                if (vec_i != 24'h0) begin
827
                                        $display("vector: %h", vec_i);
828
                                        pc <= vec_i;
829
                                        next_state(IFETCH);
830
                                end
831
                                else begin
832
                                        pc[`BYTE3] <= 8'h00;
833
                                        load_what <= `LW_PCH;
834
                                        next_state(LOAD1);
835
                                end
836
                        end
837
                        else
838
                                next_state(IFETCH);
839
                end
840
        end
841
PULL1:
842
        begin
843
                next_state(LOAD1);
844
                if (ir[bitsPerByte]) begin
845
                        load_what <= `LW_CCR;
846
                        ir[bitsPerByte] <= 1'b0;
847
                end
848
                else if (ir[bitsPerByte+1]) begin
849
                        load_what <= `LW_ACCA;
850
                        ir[bitsPerByte+1] <= 1'b0;
851
                end
852
                else if (ir[bitsPerByte+2]) begin
853
                        load_what <= `LW_ACCB;
854
                        ir[bitsPerByte+2] <= 1'b0;
855
                end
856
                else if (ir[bitsPerByte+3]) begin
857
                        load_what <= `LW_DPR;
858
                        ir[bitsPerByte+3] <= 1'b0;
859
                end
860
                else if (ir[bitsPerByte+4]) begin
861
                        load_what <= `LW_XH;
862
                        ir[bitsPerByte+4] <= 1'b0;
863
                end
864
                else if (ir[bitsPerByte+5]) begin
865
                        load_what <= `LW_YH;
866
                        ir[bitsPerByte+5] <= 1'b0;
867
                end
868
                else if (ir[bitsPerByte+6]) begin
869
                        if (ir12==`PULU)
870
                                load_what <= `LW_SSPH;
871
                        else
872
                                load_what <= `LW_USPH;
873
                        ir[bitsPerByte+6] <= 1'b0;
874
                end
875
                else if (ir[bitsPerByte+7]) begin
876
                        load_what <= isFar ? `LW_PC2316 : `LW_PCH;
877
                        ir[bitsPerByte+7] <= 1'b0;
878
                end
879
                else
880
                        next_state(IFETCH);
881
        end
882
 
883
// ----------------------------------------------------------------------------
884
// Outer Indexing Support
885
// ----------------------------------------------------------------------------
886
OUTER_INDEXING:
887
        begin
888
                if (bitsPerByte==8) begin
889 12 robfinch
                        casez(ndxbyte)
890
                        8'b0???????:    radr <= radr + ndxreg;
891
                        8'b1???0000:
892 2 robfinch
                                                        begin
893
                                                                radr <= radr + ndxreg;
894
                                                                case(ndxbyte[6:5])
895
                                                                2'b00:  xr <= (xr + 2'd1);
896
                                                                2'b01:  yr <= (yr + 2'd1);
897
                                                                2'b10:  usp <= (usp + 2'd1);
898
                                                                2'b11:  ssp <= (ssp + 2'd1);
899
                                                                endcase
900
                                                        end
901 12 robfinch
                        8'b1???0001:    begin
902 2 robfinch
                                                                radr <= radr + ndxreg;
903
                                                                case(ndxbyte[6:5])
904
                                                                2'b00:  xr <= (xr + 2'd2);
905
                                                                2'b01:  yr <= (yr + 2'd2);
906
                                                                2'b10:  usp <= (usp + 2'd2);
907
                                                                2'b11:  ssp <= (ssp + 2'd2);
908
                                                                endcase
909
                                                        end
910 12 robfinch
                        8'b1???0010:    radr <= radr + ndxreg;
911
                        8'b1???0011:    radr <= radr + ndxreg;
912
                        8'b1???0100:    radr <= radr + ndxreg;
913
                        8'b1???0101:    radr <= radr + ndxreg;
914
                        8'b1???0110:    radr <= radr + ndxreg;
915
                        8'b1???1000:    radr <= radr + ndxreg;
916
                        8'b1???1001:    radr <= radr + ndxreg;
917
                        8'b1???1010:    radr <= radr + ndxreg;
918
                        8'b1???1011:    radr <= radr + ndxreg;
919 2 robfinch
                        default:        radr <= radr;
920
                        endcase
921
                end
922
                else if (bitsPerByte==12) begin
923 12 robfinch
                        casez(ndxbyte)
924
                        12'b0???????????:       radr <= radr + ndxreg;
925
                        12'b1????0000000:
926 2 robfinch
                                                        begin
927
                                                                radr <= radr + ndxreg;
928
                                                                case(ndxbyte[10:9])
929
                                                                2'b00:  xr <= (xr + 2'd1);
930
                                                                2'b01:  yr <= (yr + 2'd1);
931
                                                                2'b10:  usp <= (usp + 2'd1);
932
                                                                2'b11:  ssp <= (ssp + 2'd1);
933
                                                                endcase
934
                                                        end
935 12 robfinch
                        12'b1????0000001:       begin
936 2 robfinch
                                                                radr <= radr + ndxreg;
937
                                                                case(ndxbyte[10:9])
938
                                                                2'b00:  xr <= (xr + 2'd2);
939
                                                                2'b01:  yr <= (yr + 2'd2);
940
                                                                2'b10:  usp <= (usp + 2'd2);
941
                                                                2'b11:  ssp <= (ssp + 2'd2);
942
                                                                endcase
943
                                                        end
944 12 robfinch
                        12'b1????0000010:       radr <= radr + ndxreg;
945
                        12'b1????0000011:       radr <= radr + ndxreg;
946
                        12'b1????0000100:       radr <= radr + ndxreg;
947
                        12'b1????0000101:       radr <= radr + ndxreg;
948
                        12'b1????0000110:       radr <= radr + ndxreg;
949
                        12'b1????0001000:       radr <= radr + ndxreg;
950
                        12'b1????0001001:       radr <= radr + ndxreg;
951
                        12'b1????0001010:       radr <= radr + ndxreg;
952
                        12'b1????0001011:       radr <= radr + ndxreg;
953 2 robfinch
                        default:        radr <= radr;
954
                        endcase
955
                end
956
                next_state(OUTER_INDEXING2);
957
        end
958
OUTER_INDEXING2:
959
        begin
960
                wadr <= radr;
961
                res <= radr[`DBLBYTE];
962
                load_what <= load_what2;
963
                if (isLEA)
964
                        next_state(IFETCH);
965
                else if (isStore)
966
                        next_state(STORE1);
967
                else
968
                        next_state(LOAD1);
969
        end
970
 
971
// ============================================================================
972
// Cache Control
973
// ============================================================================
974
ICACHE1:
975
        begin
976
                iccnt <= 4'h0;
977
                outstanding <= 16'h0;
978
                if (hit0 & hit1)
979
                        next_state(IFETCH);
980
                else if (!tsc && !ack_i) begin
981
                        rhit0 <= hit0;
982
                        bte_o <= 2'b00;
983
                        cti_o <= 3'b001;
984
                        cyc_o <= 1'b1;
985
                        bl_o <= 6'd15;
986
                        stb_o <= 1'b1;
987
                        we_o <= 1'b0;
988
                        adr_o <= !hit0 ? {pc[bitsPerByte*3-1:4],4'b00} : {pcp16[bitsPerByte*3-1:4],4'b0000};
989
                        dat_o <= 12'd0;
990
                        next_state(ICACHE2);
991
                end
992
        end
993
// If tsc is asserted during an instruction cache fetch, then abort the fetch
994
// cycle, and wait until tsc deactivates.
995
// The instruction cache uses asynchronous reading through the network for
996
// better performance. The read request and the read response are two
997
// separate things.
998
ICACHE2:
999
`ifdef SUPPORT_AREAD
1000
        if (tsc) begin
1001
                wb_nack();
1002
                next_state(ICACHE3);
1003
        end
1004
        else if (ack_i|rty_i|bto) begin
1005
                stb_o <= 1'b0;
1006
                iccnt <= iccnt + 2'd1;
1007
                next_state(ICACHE4);
1008
                if (iccnt==4'b1110)
1009
                        cti_o <= 3'b111;
1010
                if (iccnt==4'b1111) begin
1011
                        icwa <= adr_o;
1012
                        wb_nack();
1013
                        next_state(ICACHE5);
1014
                end
1015
        end
1016
`else
1017
        if (tsc|rty_i) begin
1018
                wb_nack();
1019
                next_state(ICACHE3);
1020
        end
1021
        else if (ack_i) begin
1022
                stb_o <= 1'b0;
1023
                iccnt <= iccnt + 2'd1;
1024
                next_state(ICACHE4);
1025
                if (iccnt==4'b1110)
1026
                        cti_o <= 3'b111;
1027
                if (iccnt==4'b1111) begin
1028
                        icwa <= adr_o;
1029
                        wb_nack();
1030
                        next_state(ICACHE6);
1031
                end
1032
        end
1033
`endif
1034
 
1035
ICACHE4:
1036
        if (!ack_i) begin
1037
                adr_o[3:0] <= iccnt;
1038
                stb_o <= 1'b1;
1039
                next_state(ICACHE2);
1040
        end
1041
 
1042
ICACHE6:
1043
        next_state(ICACHE1);
1044
 
1045
// The following states to handle outstanding transfers.
1046
// The transfer might retry several times if it has not registered.
1047
`ifdef SUPPORT_AREAD
1048
ICACHE5:
1049
        // Line loaded?
1050
        if (icgot == 16'hFFFF)
1051
                next_state(ICACHE6);
1052
        else begin
1053
                waitcnt <= 6'd20;
1054
                next_state(ICACHE7);
1055
        end
1056
ICACHE7:
1057
        if (waitcnt==6'd0) begin
1058 11 robfinch
                next_state(ICACHE5);
1059 2 robfinch
                adr_o <= icwa;
1060
                for (n4 = 15; n4 >= 0; n4 = n4 - 1)
1061 11 robfinch
                        if (~icgot[n4]) begin// & ~outstanding[n4]) begin
1062 2 robfinch
                                cti_o <= 3'b001;
1063 11 robfinch
                                cyc_o <= `TRUE;
1064
                                stb_o <= `TRUE;
1065 2 robfinch
                                adr_o[3:0] <= n4[3:0];
1066
                                outstanding[n4[3:0]] <= 1'b1;
1067
                                next_state(ICACHE9);
1068
                        end
1069
        end
1070
        else
1071
                waitcnt <= waitcnt - 2'd1;
1072
ICACHE9:
1073
        begin
1074
                if (bto)
1075
                        outstanding <= 16'h0;
1076
                if (aack_i)
1077 11 robfinch
                        outstanding[atag_i] <= 1'b0;
1078 2 robfinch
                if (ack_i|rty_i|bto) begin
1079
                        wb_nack();
1080
                        waitcnt <= 6'd20;
1081
                        next_state(ICACHE7);
1082
                end
1083
        end
1084
`endif
1085
 
1086
// Restart a cache load aborted by the TSC signal. A registered version of the
1087
// hit signal must be used as the cache may be partially updated.
1088
ICACHE3:
1089
        if (!tsc) begin
1090
                bte_o <= 2'b00;
1091
                cti_o <= 3'b001;
1092
                cyc_o <= 1'b1;
1093
                bl_o <= 6'd15;
1094
                stb_o <= 1'b1;
1095
                we_o <= 1'b0;
1096
                adr_o <= !rhit0 ? {pc[bitsPerByte*3-1:4],4'b00} : {pcp16[bitsPerByte*3-1:4],4'b0000};
1097
                dat_o <= 12'd0;
1098
                next_state(ICACHE2);
1099
        end
1100
 
1101
`ifdef SUPPORT_IBUF
1102
IBUF1:
1103
        if (!tsc) begin
1104
                bte_o <= 2'b00;
1105
                cti_o <= 3'b001;
1106
                cyc_o <= 1'b1;
1107
                bl_o <= 6'd2;
1108
                stb_o <= 1'b1;
1109
                we_o <= 1'b0;
1110
                adr_o <= pc[`DBLBYTE];
1111
                dat_o <= 12'd0;
1112
                next_state(IBUF2);
1113
        end
1114
IBUF2:
1115
        if (tsc|rty_i) begin
1116
                wb_nack();
1117
                next_state(IBUF1);
1118
        end
1119
        else if (ack_i) begin
1120
                adr_o <= adr_o + 2'd1;
1121
                ibuf <= dat_i;
1122
                next_state(IBUF3);
1123
        end
1124
IBUF3:
1125
        if (tsc|rty_i) begin
1126
                wb_nack();
1127
                next_state(IBUF1);
1128
        end
1129
        else if (ack_i) begin
1130
                cti_o <= 3'b111;
1131
                adr_o <= adr_o + 2'd1;
1132
                ibuf[`HIBYTE] <= dat_i;
1133
                next_state(IBUF4);
1134
        end
1135
IBUF4:
1136
        if (tsc|rty_i) begin
1137
                wb_nack();
1138
                next_state(IBUF1);
1139
        end
1140
        else if (ack_i) begin
1141
                wb_nack();
1142
                ibuf[`BYTE3] <= dat_i;
1143
                next_state(IBUF5);
1144
        end
1145
IBUF5:
1146
        if (tsc|rty_i) begin
1147
                wb_nack();
1148
                next_state(IBUF1);
1149
        end
1150
        else if (ack_i) begin
1151
                wb_nack();
1152
                ibuf[`BYTE4] <= dat_i;
1153
                next_state(IBUF6);
1154
        end
1155
IBUF6:
1156
        if (tsc|rty_i) begin
1157
                wb_nack();
1158
                next_state(IBUF1);
1159
        end
1160
        else if (ack_i) begin
1161
                wb_nack();
1162
                ibuf[`BYTE5] <= dat_i;
1163
                ibufadr <= pc;
1164
                next_state(IFETCH);
1165
        end
1166
`endif
1167
 
1168
endcase
1169
end
1170
 
1171
// ============================================================================
1172
// ============================================================================
1173
// Supporting Tasks
1174
// ============================================================================
1175
// ============================================================================
1176
 
1177
// ============================================================================
1178
// IFETCH
1179
//
1180
// Fetch instructions.
1181
// ============================================================================
1182
 
1183
task tIfetch;
1184
begin
1185
        if (halt_i) begin
1186
                ba_o <= 1'b1;
1187
                bs_o <= 1'b1;
1188
        end
1189
        else begin
1190
                ba_o <= 1'b0;
1191
                bs_o <= 1'b0;
1192
                next_state(DECODE);
1193
                isFar <= `FALSE;
1194 13 robfinch
`ifdef EIGHTBIT
1195 2 robfinch
                isOuterIndexed <= `FALSE;
1196 13 robfinch
`endif
1197 2 robfinch
                ipg <= 2'b00;
1198 12 robfinch
                ia <= {bitsPerByte*3{1'b0}};
1199 2 robfinch
                res <= 24'd0;
1200
                load_what <= `LW_NOTHING;
1201
                store_what <= `SW_NOTHING;
1202
                if (nmi_edge | firq_i | irq_i)
1203
                        sync_state <= `FALSE;
1204
                if (nmi_edge & nmi_armed) begin
1205
                        bs_o <= 1'b1;
1206
                        ir[`LOBYTE] <= `INT;
1207
                        ipg <= 2'b11;
1208
                        vect <= `NMI_VECT;
1209
                end
1210
                else if (firq_i & !firqim & !sync_state) begin
1211
                        bs_o <= 1'b1;
1212
                        ir[`LOBYTE] <= `INT;
1213
                        ipg <= 2'b11;
1214
                        vect <= `FIRQ_VECT;
1215
                end
1216
                else if (irq_i & !im & !sync_state) begin
1217
                        $display("**************************************");
1218
                        $display("****** Interrupt *********************");
1219
                        $display("**************************************");
1220
                        bs_o <= 1'b1;
1221
                        ir[`LOBYTE] <= `INT;
1222
                        ipg <= 2'b11;
1223
                        vect <= `IRQ_VECT;
1224
                end
1225
                else begin
1226
                        if (sync_state) begin
1227
                                ba_o <= 1'b1;
1228
                                next_state(IFETCH);
1229
                        end
1230
                        else if (icacheOn) begin
1231
                                if (ihit) begin
1232
                                        ir <= insn;
1233
                                end
1234
                                else begin
1235
                                        ipg <= ipg;
1236
                                        isFar <= isFar;
1237 13 robfinch
`ifdef EIGHTBIT
1238 2 robfinch
                                        isOuterIndexed <= isOuterIndexed;
1239 13 robfinch
`endif
1240 2 robfinch
                                        next_state(ICACHE1);
1241
                                end
1242
                        end
1243
`ifdef SUPPORT_IBUF
1244
                        else begin
1245
                                if (ibufhit)
1246
                                        ir <= ibuf;
1247
                                else begin
1248
                                        ipg <= ipg;
1249
                                        isFar <= isFar;
1250 13 robfinch
`ifdef EIGHTBIT
1251 2 robfinch
                                        isOuterIndexed <= isOuterIndexed;
1252 13 robfinch
`endif
1253 2 robfinch
                                        next_state(IBUF1);
1254
                                end
1255
                        end
1256
`endif
1257
                end
1258
        end
1259
end
1260
endtask
1261
 
1262
// ============================================================================
1263
// DECODE
1264
//
1265
// Decode instruction and fetch register file values.
1266
// ============================================================================
1267
 
1268
task tDecode;
1269
begin
1270
        first_ifetch <= `TRUE;
1271
        next_state(IFETCH);             // default: move to IFETCH
1272
        pc <= pc + 2'd1;                // default: increment PC by one
1273
        a <= 24'd0;
1274
        b <= 24'd0;
1275 12 robfinch
        ia <= {bitsPerByte * 3{1'b0}};
1276 2 robfinch
        isDblIndirect <= `FALSE;//ndxbyte[11:4]==8'h8F;
1277
        if (isIndexed) begin
1278
                if (bitsPerByte==8) begin
1279
                        casez(ndxbyte)
1280
                        8'b1??00000:
1281
                                if (!isOuterIndexed)
1282
                                        case(ndxbyte[6:5])
1283
                                        2'b00:  xr <= (xr + 4'd1);
1284
                                        2'b01:  yr <= (yr + 4'd1);
1285
                                        2'b10:  usp <= (usp + 4'd1);
1286
                                        2'b11:  ssp <= (ssp + 4'd1);
1287
                                        endcase
1288
                        8'b1??00001:
1289
                                if (!isOuterIndexed)
1290
                                        case(ndxbyte[6:5])
1291
                                        2'b00:  xr <= (xr + 4'd2);
1292
                                        2'b01:  yr <= (yr + 4'd2);
1293
                                        2'b10:  usp <= (usp + 4'd2);
1294
                                        2'b11:  ssp <= (ssp + 4'd2);
1295
                                        endcase
1296
                        8'b1??00010:
1297
                                case(ndxbyte[6:5])
1298
                                2'b00:  xr <= (xr - 2'd1);
1299
                                2'b01:  yr <= (yr - 2'd1);
1300
                                2'b10:  usp <= (usp - 2'd1);
1301
                                2'b11:  ssp <= (ssp - 2'd1);
1302
                                endcase
1303
                        8'b1??00011:
1304
                                case(ndxbyte[6:5])
1305
                                2'b00:  xr <= (xr - 2'd2);
1306
                                2'b01:  yr <= (yr - 2'd2);
1307
                                2'b10:  usp <= (usp - 2'd2);
1308
                                2'b11:  ssp <= (ssp - 2'd2);
1309
                                endcase
1310
                        endcase
1311
                end
1312
                else if (bitsPerByte==12) begin
1313
                        casez(ndxbyte)
1314
                        12'b1??000000000:
1315 13 robfinch
                                if (!isOuterIndexed && ndxbyte[bitsPerByte-5]==1'b0)
1316 2 robfinch
                                        case(ndxbyte[10:9])
1317
                                        2'b00:  xr <= (xr + 4'd1);
1318
                                        2'b01:  yr <= (yr + 4'd1);
1319
                                        2'b10:  usp <= (usp + 4'd1);
1320
                                        2'b11:  ssp <= (ssp + 4'd1);
1321
                                        endcase
1322
                        12'b1??000000001:
1323 13 robfinch
                                if (!isOuterIndexed && ndxbyte[bitsPerByte-5]==1'b0)
1324 2 robfinch
                                        case(ndxbyte[10:9])
1325
                                        2'b00:  xr <= (xr + 4'd2);
1326
                                        2'b01:  yr <= (yr + 4'd2);
1327
                                        2'b10:  usp <= (usp + 4'd2);
1328
                                        2'b11:  ssp <= (ssp + 4'd2);
1329
                                        endcase
1330
                        12'b1??0x0000010:
1331
                                case(ndxbyte[10:9])
1332
                                2'b00:  xr <= (xr - 2'd1);
1333
                                2'b01:  yr <= (yr - 2'd1);
1334
                                2'b10:  usp <= (usp - 2'd1);
1335
                                2'b11:  ssp <= (ssp - 2'd1);
1336
                                endcase
1337
                        12'b1??0x0000011:
1338
                                case(ndxbyte[10:9])
1339
                                2'b00:  xr <= (xr - 2'd2);
1340
                                2'b01:  yr <= (yr - 2'd2);
1341
                                2'b10:  usp <= (usp - 2'd2);
1342
                                2'b11:  ssp <= (ssp - 2'd2);
1343
                                endcase
1344
                        endcase
1345
                end
1346
        end
1347
        case(ir12)
1348
        `NOP:   ;
1349
        `SYNC:  sync_state <= `TRUE;
1350
        `ORCC:  begin
1351
                        cf <= cf | ir[bitsPerByte];
1352
                        vf <= vf | ir[bitsPerByte+1];
1353
                        zf <= zf | ir[bitsPerByte+2];
1354
                        nf <= nf | ir[bitsPerByte+3];
1355
                        im <= im | ir[bitsPerByte+4];
1356
                        hf <= hf | ir[bitsPerByte+5];
1357
                        firqim <= firqim | ir[bitsPerByte+6];
1358
                        ef <= ef | ir[bitsPerByte+7];
1359
                        pc <= pcp2;
1360
                        end
1361
        `ANDCC:
1362
                        begin
1363
                        cf <= cf & ir[bitsPerByte];
1364
                        vf <= vf & ir[bitsPerByte+1];
1365
                        zf <= zf & ir[bitsPerByte+2];
1366
                        nf <= nf & ir[bitsPerByte+3];
1367
                        im <= im & ir[bitsPerByte+4];
1368
                        hf <= hf & ir[bitsPerByte+5];
1369
                        firqim <= firqim & ir[bitsPerByte+6];
1370
                        ef <= ef & ir[bitsPerByte+7];
1371
                        pc <= pcp2;
1372
                        end
1373
        `DAA:
1374
                        begin
1375
                                if (hf || acca[3:0] > 4'd9)
1376
                                        res12[3:0] <= acca[3:0] + 4'd6;
1377
                                if (cf || acca[7:4] > 4'd9 || (acca[7:4] > 4'd8 && acca[3:0] > 4'd9))
1378
                                        res12[8:4] <= acca[7:4] + 4'd6;
1379
                        end
1380
        `CWAI:
1381
                        begin
1382
                        cf <= cf & ir[bitsPerByte];
1383
                        vf <= vf & ir[bitsPerByte+1];
1384
                        zf <= zf & ir[bitsPerByte+2];
1385
                        nf <= nf & ir[bitsPerByte+3];
1386
                        im <= im & ir[bitsPerByte+4];
1387
                        hf <= hf & ir[bitsPerByte+5];
1388
                        firqim <= firqim & ir[bitsPerByte+6];
1389
                        ef <= 1'b1;
1390
                        pc <= pc + 2'd2;
1391
                        ir[`HIBYTE] <= -1;
1392
                        isFar <= `TRUE;
1393
                        wait_state <= `TRUE;
1394
                        next_state(PUSH1);
1395
                        end
1396
        `LDMD:  begin
1397
                        natMd <= ir[bitsPerByte];
1398
                        firqMd <= ir[bitsPerByte+1];
1399
                        pc <= pc + 2'd2;
1400
                        end
1401
        `TFR:   pc <= pc + 2'd2;
1402
        `EXG:   pc <= pc + 2'd2;
1403
        `ABX:   res <= xr + accb;
1404
        `SEX: res <= {{bitsPerByte{accb[BPBM1]}},accb[`LOBYTE]};
1405
        `PG2:   begin ipg <= 2'b01; ir <= ir[bitsPerByte*5-1:bitsPerByte]; next_state(DECODE); end
1406
        `PG3:   begin ipg <= 2'b10; ir <= ir[bitsPerByte*5-1:bitsPerByte]; next_state(DECODE); end
1407
        `FAR:   begin isFar <= `TRUE;  ir <= ir[bitsPerByte*5-1:bitsPerByte]; next_state(DECODE); end
1408 13 robfinch
`ifdef EIGHTBIT
1409 2 robfinch
        `OUTER: begin isOuterIndexed <= `TRUE;  ir <= ir[bitsPerByte*5-1:bitsPerByte]; next_state(DECODE); end
1410 13 robfinch
`endif
1411 2 robfinch
        `NEGA,`NEGB:    begin res12 <= -acc[`LOBYTE]; a <= 24'h00; b <= acc; end
1412
        `COMA,`COMB:    begin res12 <= ~acc[`LOBYTE]; end
1413
        `LSRA,`LSRB:    begin res12 <= {acc[0],1'b0,acc[BPBM1:1]}; end
1414
        `RORA,`RORB:    begin res12 <= {acc[0],cf,acc[BPBM1:1]}; end
1415
        `ASRA,`ASRB:    begin res12 <= {acc[0],acc[BPBM1],acc[BPBM1:1]}; end
1416
        `ASLA,`ASLB:    begin res12 <= {acc[`LOBYTE],1'b0}; end
1417
        `ROLA,`ROLB:    begin res12 <= {acc[`LOBYTE],cf}; end
1418
        `DECA,`DECB:    begin res12 <= acc[`LOBYTE] - 2'd1; end
1419
        `INCA,`INCB:    begin res12 <= acc[`LOBYTE] + 2'd1; end
1420
        `TSTA,`TSTB:    begin res12 <= acc[`LOBYTE]; end
1421
        `CLRA,`CLRB:    begin res12 <= 13'h000; end
1422
 
1423
        // Immediate mode instructions
1424
        `SUBA_IMM,`SUBB_IMM,`CMPA_IMM,`CMPB_IMM:
1425
                begin res12 <= acc[`LOBYTE] - ir[`HIBYTE]; pc <= pc + 4'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1426
        `SBCA_IMM,`SBCB_IMM:
1427
                begin res12 <= acc[`LOBYTE] - ir[`HIBYTE] - cf; pc <= pc + 2'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1428
        `ANDA_IMM,`ANDB_IMM,`BITA_IMM,`BITB_IMM:
1429
                begin res12 <= acc[`LOBYTE] & ir[`HIBYTE]; pc <= pc + 2'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1430
        `LDA_IMM,`LDB_IMM:
1431
                begin res12 <= ir[`HIBYTE]; pc <= pc + 2'd2; end
1432
        `EORA_IMM,`EORB_IMM:
1433
                begin res12 <= acc[`LOBYTE] ^ ir[`HIBYTE]; pc <= pc + 2'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1434
        `ADCA_IMM,`ADCB_IMM:
1435
                begin res12 <= acc[`LOBYTE] + ir[`HIBYTE] + cf; pc <= pc + 2'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1436
        `ORA_IMM,`ORB_IMM:
1437
                begin res12 <= acc[`LOBYTE] | ir[`HIBYTE]; pc <= pc + 2'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1438
        `ADDA_IMM,`ADDB_IMM:
1439
                begin res12 <= acc[`LOBYTE] + ir[`HIBYTE]; pc <= pc + 2'd2; a <= acc[`LOBYTE]; b <= ir[`HIBYTE]; end
1440
        `ADDD_IMM:
1441
                                begin
1442
                                        res <= {acca[`LOBYTE],accb[`LOBYTE]} + {ir[`HIBYTE],ir[`BYTE3]};
1443
                                        pc <= pc + 2'd3;
1444
                                end
1445
        `SUBD_IMM:
1446
                                begin
1447
                                        res <= {acca[`LOBYTE],accb[`LOBYTE]} - {ir[`HIBYTE],ir[`BYTE3]};
1448
                                        pc <= pc + 2'd3;
1449
                                end
1450
        `LDD_IMM:
1451
                                begin
1452
                                        res <= {ir[`HIBYTE],ir[`BYTE3]};
1453
                                        pc <= pc + 2'd3;
1454
                                end
1455
        `LDX_IMM,`LDY_IMM,`LDU_IMM,`LDS_IMM:
1456
                                begin
1457
                                        res <= {ir[`HIBYTE],ir[`BYTE3]};
1458
                                        pc <= pc + 2'd3;
1459
                                end
1460
 
1461
        `CMPD_IMM:
1462
                                begin
1463
                                        res <= {acca[`LOBYTE],accb[`LOBYTE]} - {ir[`HIBYTE],ir[`BYTE3]};
1464
                                        pc <= pc + 2'd3;
1465
                                        a <= {acca[`LOBYTE],accb[`LOBYTE]};
1466
                                        b <= {ir[`HIBYTE],ir[`BYTE3]};
1467
                                end
1468
        `CMPX_IMM:
1469
                                begin
1470
                                        res <= xr[`DBLBYTE] - {ir[`HIBYTE],ir[`BYTE3]};
1471
                                        pc <= pc + 2'd3;
1472
                                        a <= xr[`DBLBYTE];
1473
                                        b <= {ir[`HIBYTE],ir[`BYTE3]};
1474
                                end
1475
        `CMPY_IMM:
1476
                                begin
1477
                                        res <= yr[`DBLBYTE] - {ir[`HIBYTE],ir[`BYTE3]};
1478
                                        pc <= pc + 2'd3;
1479
                                        a <= yr[`DBLBYTE];
1480
                                        b <= {ir[`HIBYTE],ir[`BYTE3]};
1481
                                end
1482
        `CMPU_IMM:
1483
                                begin
1484
                                        res <= usp[`DBLBYTE] - {ir[`HIBYTE],ir[`BYTE3]};
1485
                                        pc <= pc + 2'd3;
1486
                                        a <= usp[`DBLBYTE];
1487
                                        b <= {ir[`HIBYTE],ir[`BYTE3]};
1488
                                end
1489
        `CMPS_IMM:
1490
                                begin
1491
                                        res <= ssp[`DBLBYTE] - {ir[`HIBYTE],ir[`BYTE3]};
1492
                                        pc <= pc + 2'd3;
1493
                                        a <= ssp[`DBLBYTE];
1494
                                        b <= {ir[`HIBYTE],ir[`BYTE3]};
1495
                                end
1496
 
1497
        // Direct mode instructions
1498
        `NEG_DP,`COM_DP,`LSR_DP,`ROR_DP,`ASR_DP,`ASL_DP,`ROL_DP,`DEC_DP,`INC_DP,`TST_DP:
1499
                begin
1500
                        load_what <= `LW_BL;
1501
                        radr <= dp_address;
1502
                        pc <= pc + 2'd2;
1503
                        next_state(LOAD1);
1504
                end
1505
        `SUBA_DP,`CMPA_DP,`SBCA_DP,`ANDA_DP,`BITA_DP,`LDA_DP,`EORA_DP,`ADCA_DP,`ORA_DP,`ADDA_DP,
1506
        `SUBB_DP,`CMPB_DP,`SBCB_DP,`ANDB_DP,`BITB_DP,`LDB_DP,`EORB_DP,`ADCB_DP,`ORB_DP,`ADDB_DP:
1507
                begin
1508
                        load_what <= `LW_BL;
1509
                        radr <= dp_address;
1510
                        pc <= pc + 2'd2;
1511
                        next_state(LOAD1);
1512
                end
1513
        `SUBD_DP,`ADDD_DP,`LDD_DP,`CMPD_DP,`ADCD_DP,`SBCD_DP:
1514
                begin
1515
                        load_what <= `LW_BH;
1516
                        pc <= pc + 2'd2;
1517
                        radr <= dp_address;
1518
                        next_state(LOAD1);
1519
                end
1520
        `CMPX_DP,`LDX_DP,`LDU_DP,`LDS_DP,
1521
        `CMPY_DP,`CMPS_DP,`CMPU_DP,`LDY_DP:
1522
                begin
1523
                        load_what <= `LW_BH;
1524
                        pc <= pc + 2'd2;
1525
                        radr <= dp_address;
1526
                        next_state(LOAD1);
1527
                end
1528
        `CLR_DP:
1529
                begin
1530
                        dp_store(`SW_RES8);
1531
                        res12 <= 13'h000;
1532
                end
1533
        `STA_DP:        dp_store(`SW_ACCA);
1534
        `STB_DP:        dp_store(`SW_ACCB);
1535
        `STD_DP:        dp_store(`SW_ACCDH);
1536
        `STU_DP:        dp_store(`SW_USPH);
1537
        `STS_DP:        dp_store(`SW_SSPH);
1538
        `STX_DP:        dp_store(`SW_XH);
1539
        `STY_DP:        dp_store(`SW_YH);
1540
        // Indexed mode instructions
1541
        `NEG_NDX,`COM_NDX,`LSR_NDX,`ROR_NDX,`ASR_NDX,`ASL_NDX,`ROL_NDX,`DEC_NDX,`INC_NDX,`TST_NDX:
1542
                begin
1543
                        pc <= pc + insnsz;
1544
                        if (isIndirect) begin
1545
                                load_what <= isFar ? `LW_IA2316 : `LW_IAH;
1546
                                load_what2 <= `LW_BL;
1547
                                radr <= NdxAddr;
1548
                                next_state(LOAD1);
1549
                        end
1550
                        else begin
1551
                                b <= 24'd0;
1552
                                load_what <= `LW_BL;
1553
                                radr <= NdxAddr;
1554
                                next_state(LOAD1);
1555
                        end
1556
                end
1557
        `SUBA_NDX,`CMPA_NDX,`SBCA_NDX,`ANDA_NDX,`BITA_NDX,`LDA_NDX,`EORA_NDX,`ADCA_NDX,`ORA_NDX,`ADDA_NDX,
1558
        `SUBB_NDX,`CMPB_NDX,`SBCB_NDX,`ANDB_NDX,`BITB_NDX,`LDB_NDX,`EORB_NDX,`ADCB_NDX,`ORB_NDX,`ADDB_NDX:
1559
                begin
1560
                        pc <= pc + insnsz;
1561
                        if (isIndirect) begin
1562
                                load_what <= isFar ? `LW_IA2316 : `LW_IAH;
1563
                                load_what2 <= `LW_BL;
1564
                                radr <= NdxAddr;
1565
                                next_state(LOAD1);
1566
                        end
1567
                        else begin
1568
                                b <= 24'd0;
1569
                                load_what <= `LW_BL;
1570
                                radr <= NdxAddr;
1571
                                next_state(LOAD1);
1572
                        end
1573
                end
1574
        `SUBD_NDX,`ADDD_NDX,`LDD_NDX,`CMPD_NDX,`ADCD_NDX,`SBCD_NDX:
1575
                begin
1576
                        pc <= pc + insnsz;
1577
                        if (isIndirect) begin
1578
                                load_what <= isFar ? `LW_IA2316 : `LW_IAH;
1579
                                load_what2 <= `LW_BH;
1580
                                radr <= NdxAddr;
1581
                                next_state(LOAD1);
1582
                        end
1583
                        else begin
1584
                                load_what <= `LW_BH;
1585
                                radr <= NdxAddr;
1586
                                next_state(LOAD1);
1587
                        end
1588
                end
1589
        `CMPX_NDX,`LDX_NDX,`LDU_NDX,`LDS_NDX,
1590
        `CMPY_NDX,`CMPS_NDX,`CMPU_NDX,`LDY_NDX:
1591
                begin
1592
                        pc <= pc + insnsz;
1593
                        if (isIndirect) begin
1594
                                load_what <= isFar ? `LW_IA2316 : `LW_IAH;
1595
                                load_what2 <= `LW_BH;
1596
                                radr <= NdxAddr;
1597
                                next_state(LOAD1);
1598
                        end
1599
                        else begin
1600
                                load_what <= `LW_BH;
1601
                                radr <= NdxAddr;
1602
                                next_state(LOAD1);
1603
                        end
1604
                end
1605
        `CLR_NDX:
1606
                begin
1607
                        res12 <= 13'h000;
1608
                        indexed_store(`SW_RES8);
1609
                end
1610
        `STA_NDX:       indexed_store(`SW_ACCA);
1611
        `STB_NDX:       indexed_store(`SW_ACCB);
1612
        `STD_NDX:       indexed_store(`SW_ACCDH);
1613
        `STU_NDX:       indexed_store(`SW_USPH);
1614
        `STS_NDX:       indexed_store(`SW_SSPH);
1615
        `STX_NDX:       indexed_store(`SW_XH);
1616
        `STY_NDX:       indexed_store(`SW_YH);
1617
 
1618
        // Extended mode instructions
1619
        `NEG_EXT,`COM_EXT,`LSR_EXT,`ROR_EXT,`ASR_EXT,`ASL_EXT,`ROL_EXT,`DEC_EXT,`INC_EXT,`TST_EXT:
1620
                begin
1621
                        load_what <= `LW_BL;
1622
                        radr <= ex_address;
1623
                        pc <= pc + (isFar ? 32'd4 : 32'd3);
1624
                        next_state(LOAD1);
1625
                end
1626
        `SUBA_EXT,`CMPA_EXT,`SBCA_EXT,`ANDA_EXT,`BITA_EXT,`LDA_EXT,`EORA_EXT,`ADCA_EXT,`ORA_EXT,`ADDA_EXT,
1627
        `SUBB_EXT,`CMPB_EXT,`SBCB_EXT,`ANDB_EXT,`BITB_EXT,`LDB_EXT,`EORB_EXT,`ADCB_EXT,`ORB_EXT,`ADDB_EXT:
1628
                begin
1629
                        load_what <= `LW_BL;
1630
                        radr <= ex_address;
1631
                        pc <= pc + (isFar ? 32'd4 : 32'd3);
1632
                        next_state(LOAD1);
1633
                end
1634
        `SUBD_EXT,`ADDD_EXT,`LDD_EXT,`CMPD_EXT,`ADCD_EXT,`SBCD_EXT:
1635
                begin
1636
                        load_what <= `LW_BH;
1637
                        radr <= ex_address;
1638
                        pc <= pc + (isFar ? 32'd4 : 32'd3);
1639
                        next_state(LOAD1);
1640
                end
1641
        `CMPX_EXT,`LDX_EXT,`LDU_EXT,`LDS_EXT,
1642
        `CMPY_EXT,`CMPS_EXT,`CMPU_EXT,`LDY_EXT:
1643
                begin
1644
                        load_what <= `LW_BH;
1645
                        radr <= ex_address;
1646
                        pc <= pc + (isFar ? 32'd4 : 32'd3);
1647
                        next_state(LOAD1);
1648
                end
1649
        `CLR_EXT:
1650
                begin
1651
                        ex_store(`SW_RES8);
1652
                        res12 <= 13'h000;
1653
                end
1654
        `STA_EXT:       ex_store(`SW_ACCA);
1655
        `STB_EXT:       ex_store(`SW_ACCB);
1656
        `STD_EXT:       ex_store(`SW_ACCDH);
1657
        `STU_EXT:       ex_store(`SW_USPH);
1658
        `STS_EXT:       ex_store(`SW_SSPH);
1659
        `STX_EXT:       ex_store(`SW_XH);
1660
        `STY_EXT:       ex_store(`SW_YH);
1661
 
1662
        `BSR:
1663
                begin
1664
                        store_what <= `SW_PCH;
1665
                        wadr <= ssp - 2'd2;
1666
                        ssp <= ssp - 2'd2;
1667
                        pc <= pc + 2'd2;
1668
                        next_state(STORE1);
1669
                end
1670
        `LBSR:
1671
                begin
1672
                        store_what <= `SW_PCH;
1673
                        wadr <= ssp - 2'd2;
1674
                        ssp <= ssp - 2'd2;
1675
                        pc <= pc + 2'd3;
1676
                        next_state(STORE1);
1677
                end
1678
        `JSR_DP:
1679
                begin
1680
                        store_what <= `SW_PCH;
1681
                        wadr <= ssp - 2'd2;
1682
                        ssp <= ssp - 2'd2;
1683
                        pc <= pc + 2'd2;
1684
                        next_state(STORE1);
1685
                end
1686
        `JSR_NDX:
1687
                begin
1688
                   begin
1689
          store_what <= `SW_PCH;
1690
          wadr <= ssp - 2'd2;
1691
          ssp <= ssp - 2'd2;
1692
                        end
1693
                        pc <= pc + insnsz;
1694
                        next_state(STORE1);
1695
                end
1696
        `JSR_EXT:
1697
                begin
1698
                        begin
1699
                                store_what <= `SW_PCH;
1700
                                wadr <= ssp - 2'd2;
1701
                                ssp <= ssp - 2'd2;
1702
                        end
1703
                        pc <= pc + 2'd3;
1704
                        next_state(STORE1);
1705
                end
1706
        `JSR_FAR:
1707
                begin
1708
                        store_what <= `SW_PC2316;
1709
                        wadr <= ssp - 16'd4;
1710
                        ssp <= ssp - 16'd4;
1711
                        pc <= pc + 32'd4;
1712
                        next_state(STORE1);
1713
                end
1714
        `RTS:
1715
                begin
1716
                        load_what <= `LW_PCH;
1717
                        radr <= ssp;
1718
                        next_state(LOAD1);
1719
                end
1720
        `RTF:
1721
                begin
1722
                        load_what <= `LW_PC2316;
1723
                        radr <= ssp;
1724
                        next_state(LOAD1);
1725
                end
1726
        `JMP_DP:        pc <= dp_address;
1727
        `JMP_EXT:       pc <= address;
1728
        `JMP_FAR:       pc <= far_address;
1729
        `JMP_NDX:
1730
                begin
1731
                        if (isIndirect) begin
1732
                        radr <= NdxAddr;
1733
                            if (isFar)
1734
                                   load_what <= `LW_PC2316;
1735
                            else
1736
                                   load_what <= `LW_PCH;
1737
                                next_state(LOAD1);
1738
                        end
1739
                        else
1740
                                pc <= isFar ? NdxAddr : {pc[`BYTE3],NdxAddr[`DBLBYTE]};
1741
                end
1742
        `LEAX_NDX,`LEAY_NDX,`LEAS_NDX,`LEAU_NDX:
1743
                begin
1744
                        pc <= pc + insnsz;
1745
                        if (isIndirect) begin
1746
                                load_what <= `LW_IAH;
1747
                                radr <= NdxAddr;
1748
                                state <= LOAD1;
1749
                        end
1750
                        else
1751
                                res <= NdxAddr[`DBLBYTE];
1752
                end
1753
        `PSHU,`PSHS:
1754
                begin
1755
                        next_state(PUSH1);
1756
                        pc <= pc + 2'd2;
1757
                end
1758
        `PULS:
1759
                begin
1760
                        radr <= ssp;
1761
                        next_state(PULL1);
1762
                        pc <= pc + 2'd2;
1763
                end
1764
        `PULU:
1765
                begin
1766
                        radr <= {usppg,8'h00} + usp;
1767
                        next_state(PULL1);
1768
                        pc <= pc + 2'd2;
1769
                end
1770
        `BEQ,`BNE,`BMI,`BPL,`BVS,`BVC,`BHI,`BLS,`BHS,`BLO,`BGT,`BGE,`BLT,`BLE,`BRA,`BRN:
1771
                if (takb)
1772
                        pc <= pc + {{24{ir[BPBX2M1]}},ir[`HIBYTE]} + 2'd2;
1773
                else
1774
                        pc <= pc + 2'd2;
1775
        // PC is already incremented by one due to the PG10 prefix.
1776
        `LBEQ,`LBNE,`LBMI,`LBPL,`LBVS,`LBVC,`LBHI,`LBLS,`LBHS,`LBLO,`LBGT,`LBGE,`LBLT,`LBLE,`LBRN:
1777
                if (takb)
1778
                        pc <= pc + {{12{ir[BPB*3-1]}},ir[`HIBYTE],ir[`BYTE3]} + 2'd3;
1779
                else
1780
                        pc <= pc + 2'd3;
1781
        `LBRA:  pc <= pc + {{12{ir[BPB*3-1]}},ir[`HIBYTE],ir[`BYTE3]} + 2'd3;
1782
        `RTI:
1783
                begin
1784
                        load_what <= `LW_CCR;
1785
                        radr <= ssp;
1786
                        isFar <= `TRUE;
1787
                        next_state(LOAD1);
1788
                end
1789
        `SWI:
1790
                begin
1791
                        im <= 1'b1;
1792
                        firqim <= 1'b1;
1793
                        ir[`LOBYTE] <= `INT;
1794
                        ipg <= 2'b11;
1795
                        vect <= `SWI_VECT;
1796
                        next_state(DECODE);
1797
                end
1798
        `SWI2:
1799
                begin
1800
                        ir[`LOBYTE] <= `INT;
1801
                        ipg <= 2'b11;
1802
                        vect <= `SWI2_VECT;
1803
                        next_state(DECODE);
1804
                end
1805
        `SWI3:
1806
                begin
1807
                        ir[`LOBYTE] <= `INT;
1808
                        ipg <= 2'b11;
1809
                        vect <= `SWI3_VECT;
1810
                        next_state(DECODE);
1811
                end
1812
        // If the processor was in the wait state before the interrupt occurred
1813
        // the registers will have already been pushed. All that needs to be
1814
        // done is to vector to the interrupt routine.
1815
        `INT:
1816
                begin
1817
                        if (wait_state) begin
1818
                                wait_state <= `FALSE;
1819
                                if (vec_i != 24'h0) begin
1820
                                    pc <= vec_i;
1821
                                    next_state(IFETCH);
1822
                                end
1823
                                else begin
1824
                                    radr <= vect;
1825
                      load_what <= `LW_PCH;
1826
                                    pc <= 32'hFFFFFFFE;
1827
                                    next_state(LOAD1);
1828
                                end
1829
                        end
1830
                        else begin
1831
                                if (isNMI | isIRQ | isSWI | isSWI2 | isSWI3) begin
1832
                                        ir[`HIBYTE] <= 16'hFFFF;
1833
                                        ef <= 1'b1;
1834
                                end
1835
                                else if (isFIRQ) begin
1836
                                        if (natMd) begin
1837
                                                ef <= firqMd;
1838
                                                ir[`HIBYTE] <= firqMd ? 16'hFFFF : 12'h81;
1839
                                        end
1840
                                        else begin
1841
                                                ir[`HIBYTE] <= 12'h81;
1842
                                                ef <= 1'b0;
1843
                                        end
1844
                                end
1845
                                pc <= pc;
1846
                                isFar <= `TRUE;
1847
                                next_state(PUSH1);
1848
                        end
1849
                end
1850
        default:        ;
1851
        endcase
1852
end
1853
endtask
1854
 
1855
// ============================================================================
1856
// MEMORY LOAD
1857
// ============================================================================
1858
task tLoad1;
1859
begin
1860
`ifdef SUPPORT_DCACHE
1861
        if (unCachedData)
1862
`endif
1863
        case(radr)
1864
        {{BPB*3-8{1'b1}},8'hE0}:        load_tsk({2'b0,id});
1865
        {{BPB*3-8{1'b1}},8'hE1}:        load_tsk(chkpoint);
1866
        {{BPB*3-8{1'b1}},8'hE4}:        load_tsk(12'h0);
1867
        {{BPB*3-8{1'b1}},8'hE5}:        load_tsk(ms_count[35:24]);
1868
        {{BPB*3-8{1'b1}},8'hE6}:        load_tsk(ms_count[23:12]);
1869
        {{BPB*3-8{1'b1}},8'hE7}:        load_tsk(ms_count[11: 0]);
1870
        default:
1871
        if (~ack_i) begin
1872
                lock_o <= lock_bus;
1873
                wb_read(radr);
1874
                if (!tsc)
1875
                        next_state(LOAD2);
1876
        end
1877
`ifdef SUPPORT_DCACHE
1878
        else if (dhit)
1879
                load_tsk(rdat);
1880
        else begin
1881
                retstate <= LOAD1;
1882
                state <= DCACHE1;
1883
        end
1884
`endif
1885
        endcase
1886
end
1887
endtask
1888
 
1889
task tLoad2;
1890
begin
1891
        // On a tri-state condition abort the bus cycle and retry the load.
1892
        if (tsc|rty_i|bto) begin
1893
                wb_nack();
1894
                next_state(LOAD1);
1895
        end
1896
        else if (ack_i) begin
1897
                wb_nack();
1898
                load_tsk(dati);
1899
        end
1900
`ifdef SUPPORT_BERR
1901
        else if (err_i) begin
1902
                lock_o <= 1'b0;
1903
                wb_nack();
1904
                derr_address <= adr_o;
1905
//              intno <= 9'd508;
1906
                state <= BUS_ERROR;
1907
        end
1908
`endif
1909
end
1910
endtask
1911
 
1912
// ============================================================================
1913
// EXECUTE
1914
//
1915
// Perform calculations
1916
// ============================================================================
1917
task tExecute;
1918
begin
1919
        next_state(IFETCH);
1920
        case(ir12)
1921
        `SUBD_DP,`SUBD_NDX,`SUBD_EXT,
1922
        `CMPD_DP,`CMPD_NDX,`CMPD_EXT:
1923
                begin
1924
                    a <= {acca[`LOBYTE],accb[`LOBYTE]};
1925
                        res <= {acca[`LOBYTE],accb[`LOBYTE]} - b[`DBLBYTE];
1926
                end
1927
        `SBCD_DP,`SBCD_NDX,`SBCD_EXT:
1928
                begin
1929
                    a <= {acca[`LOBYTE],accb[`LOBYTE]};
1930
                        res <= {acca[`LOBYTE],accb[`LOBYTE]} - b[`DBLBYTE] - {23'b0,cf};
1931
                end
1932
        `ADDD_DP,`ADDD_NDX,`ADDD_EXT:
1933
                begin
1934
                    a <= {acca[`LOBYTE],accb[`LOBYTE]};
1935
                        res <= {acca[`LOBYTE],accb[`LOBYTE]} + b[`DBLBYTE];
1936
                end
1937
        `ADCD_DP,`ADCD_NDX,`ADCD_EXT:
1938
                begin
1939
                    a <= {acca[`LOBYTE],accb[`LOBYTE]};
1940
                        res <= {acca[`LOBYTE],accb[`LOBYTE]} + b[`DBLBYTE] + {23'b0,cf};
1941
                end
1942
        `LDD_DP,`LDD_NDX,`LDD_EXT:
1943
                res <= b[`DBLBYTE];
1944
 
1945
        `CMPA_DP,`CMPA_NDX,`CMPA_EXT,
1946
        `SUBA_DP,`SUBA_NDX,`SUBA_EXT,
1947
        `CMPB_DP,`CMPB_NDX,`CMPB_EXT,
1948
        `SUBB_DP,`SUBB_NDX,`SUBB_EXT:
1949
                begin
1950
                        a <= acc;
1951
           res12 <= acc[`LOBYTE] - b12;
1952
                        end
1953
 
1954
        `SBCA_DP,`SBCA_NDX,`SBCA_EXT,
1955
        `SBCB_DP,`SBCB_NDX,`SBCB_EXT:
1956
                begin
1957
                    a <= acc;
1958
          res12 <= acc[`LOBYTE] - b12 - cf;
1959
              end
1960
        `BITA_DP,`BITA_NDX,`BITA_EXT,
1961
        `ANDA_DP,`ANDA_NDX,`ANDA_EXT,
1962
        `BITB_DP,`BITB_NDX,`BITB_EXT,
1963
        `ANDB_DP,`ANDB_NDX,`ANDB_EXT:
1964
                                res12 <= acc[`LOBYTE] & b12;
1965
        `LDA_DP,`LDA_NDX,`LDA_EXT,
1966
        `LDB_DP,`LDB_NDX,`LDB_EXT:
1967
                        res12 <= b12;
1968
        `EORA_DP,`EORA_NDX,`EORA_EXT,
1969
        `EORB_DP,`EORB_NDX,`EORB_EXT:
1970
                                res12 <= acc[`LOBYTE] ^ b12;
1971
        `ADCA_DP,`ADCA_NDX,`ADCA_EXT,
1972
        `ADCB_DP,`ADCB_NDX,`ADCB_EXT:
1973
                        begin
1974
                            a <= acc;
1975
                                res12 <= acc[`LOBYTE] + b12 + cf;
1976
                        end
1977
        `ORA_DP,`ORA_NDX,`ORA_EXT,
1978
        `ORB_DP,`ORB_NDX,`ORB_EXT:
1979
                                res12 <= acc[`LOBYTE] | b12;
1980
        `ADDA_DP,`ADDA_NDX,`ADDA_EXT,
1981
        `ADDB_DP,`ADDB_NDX,`ADDB_EXT:
1982
                begin
1983
                    a <= acc;
1984
                      res12 <= acc[`LOBYTE] + b12;
1985
              end
1986
 
1987
        `LDU_DP,`LDS_DP,`LDX_DP,`LDY_DP,
1988
        `LDU_NDX,`LDS_NDX,`LDX_NDX,`LDY_NDX,
1989
        `LDU_EXT,`LDS_EXT,`LDX_EXT,`LDY_EXT:    res <= b[`DBLBYTE];
1990
        `CMPX_DP,`CMPX_NDX,`CMPX_EXT:   begin a <= xr; res <= xr[`DBLBYTE] - b[`DBLBYTE]; end
1991
        `CMPY_DP,`CMPY_NDX,`CMPY_EXT:   begin a <= yr; res <= yr[`DBLBYTE] - b[`DBLBYTE]; end
1992
        `CMPS_DP,`CMPS_NDX,`CMPS_EXT:   begin a <= ssp; res <= ssp[`DBLBYTE] - b[`DBLBYTE]; end
1993
        `CMPU_DP,`CMPU_NDX,`CMPU_EXT:   begin a <= usp; res <= usp[`DBLBYTE] - b[`DBLBYTE]; end
1994
 
1995
        `NEG_DP,`NEG_NDX,`NEG_EXT:      begin res12 <= -b12; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
1996
        `COM_DP,`COM_NDX,`COM_EXT:      begin res12 <= ~b12; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
1997
        `LSR_DP,`LSR_NDX,`LSR_EXT:      begin res12 <= {b[0],1'b0,b[BPBM1:1]}; store_what <= `SW_RES8; wadr <= radr; next_state(STORE1); end
1998
        `ROR_DP,`ROR_NDX,`ROR_EXT:      begin res12 <= {b[0],cf,b[BPBM1:1]}; store_what <= `SW_RES8; wadr <= radr; next_state(STORE1); end
1999
        `ASR_DP,`ASR_NDX,`ASR_EXT:      begin res12 <= {b[0],b[BPBM1],b[BPBM1:1]}; store_what <= `SW_RES8; wadr <= radr; next_state(STORE1); end
2000
        `ASL_DP,`ASL_NDX,`ASL_EXT:      begin res12 <= {b12,1'b0}; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2001
        `ROL_DP,`ROL_NDX,`ROL_EXT:      begin res12 <= {b12,cf}; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2002
        `DEC_DP,`DEC_NDX,`DEC_EXT:      begin res12 <= b12 - 2'd1; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2003
        `INC_DP,`INC_NDX,`INC_EXT:      begin res12 <= b12 + 2'd1; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2004
        `TST_DP,`TST_NDX,`TST_EXT:      res12 <= b12;
2005
        /*
2006
        `AIM_DP,`AIM_NDX,`AIM_EXT:      begin res12 <= ir[`HIBYTE] & b12; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2007
        `OIM_DP,`OIM_NDX,`OIM_EXT:      begin res12 <= ir[`HIBYTE] | b12; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2008
        `EIM_DP,`EIM_NDX,`OIM_EXT:  begin res12 <= ir[`HIBYTE] ^ b12; wadr <= radr; store_what <= `SW_RES8; next_state(STORE1); end
2009
        `TIM_DP,`TIM_NDX,`TIM_EXT:      begin res12 <= ir[`HIBYTE] & b12; end
2010
        */
2011
        default:        ;
2012
        endcase
2013
end
2014
endtask
2015
 
2016
// ============================================================================
2017
// MEMORY STORE
2018
// ============================================================================
2019
 
2020
task tStore1;
2021
begin
2022
        if (!ack_i) begin
2023
                lock_o <= lock_bus;
2024
`ifdef SUPPORT_CHECKPOINT
2025
                if (wadr=={{BPB*3-8{1'b1}},8'hE1})
2026
                        next_state(IFETCH);
2027
                else
2028
`endif
2029
                begin
2030
                        case(store_what)
2031
                        `SW_ACCDH:      wb_write(wadr,acca[`LOBYTE]);
2032
                        `SW_ACCDL:      wb_write(wadr,accb[`LOBYTE]);
2033
                        `SW_ACCA:       wb_write(wadr,acca[`LOBYTE]);
2034
                        `SW_ACCB:       wb_write(wadr,accb[`LOBYTE]);
2035
                        `SW_DPR:        wb_write(wadr,dpr);
2036
                        `SW_XL: wb_write(wadr,xr[`LOBYTE]);
2037
                        `SW_XH: wb_write(wadr,xr[`HIBYTE]);
2038
                        `SW_YL: wb_write(wadr,yr[`LOBYTE]);
2039
                        `SW_YH: wb_write(wadr,yr[`HIBYTE]);
2040
                        `SW_USPL:       wb_write(wadr,usp[`LOBYTE]);
2041
                        `SW_USPH:       wb_write(wadr,usp[`HIBYTE]);
2042
                        `SW_SSPL:       wb_write(wadr,ssp[`LOBYTE]);
2043
                        `SW_SSPH:       wb_write(wadr,ssp[`HIBYTE]);
2044
                        `SW_PC2316:     wb_write(wadr,pc[`BYTE3]);
2045
                        `SW_PCH:        wb_write(wadr,pc[`HIBYTE]);
2046
                        `SW_PCL:        wb_write(wadr,pc[`LOBYTE]);
2047
                        `SW_CCR:        wb_write(wadr,ccr);
2048
                        `SW_RES8:       wb_write(wadr,res12[`LOBYTE]);
2049
                        `SW_RES16H:     wb_write(wadr,res[`HIBYTE]);
2050
                        `SW_RES16L:     wb_write(wadr,res[`LOBYTE]);
2051
                        `SW_DEF8:       wb_write(wadr,wdat);
2052
                        default:        wb_write(wadr,wdat);
2053
                        endcase
2054
`ifdef SUPPORT_DCACHE
2055
                        radr <= wadr;           // Do a cache read to test the hit
2056
`endif
2057
                        if (!tsc)
2058
                                next_state(STORE2);
2059
                end
2060
        end
2061
end
2062
endtask
2063
 
2064
// Terminal state for stores. Update the data cache if there was a cache hit.
2065
// Clear any previously set lock status
2066
task tStore2;
2067
begin
2068
        // On a tri-state condition abort the bus cycle and retry the store.
2069
        if (tsc|rty_i|bto) begin
2070
                wb_nack();
2071
                next_state(STORE1);
2072
        end
2073
        else if (ack_i) begin
2074
                wb_nack();
2075
                wdat <= dat_o;
2076
                wadr <= wadr + 2'd1;
2077
                next_state(IFETCH);
2078
                case(store_what)
2079
                `SW_CCR:
2080
                        begin
2081
                                if (isINT) begin
2082
                                        im <= 1'b1;
2083
                                        firqim <= 1'b1;
2084
                                end
2085
                                next_state(PUSH2);
2086
                        end
2087
                `SW_ACCA:
2088
                        if (isINT | isPSHS | isPSHU)
2089
                                next_state(PUSH2);
2090
                        else    // STA
2091
                                next_state(IFETCH);
2092
                `SW_ACCB:
2093
                        if (isINT | isPSHS | isPSHU)
2094
                                next_state(PUSH2);
2095
                        else    // STB
2096
                                next_state(IFETCH);
2097
                `SW_ACCDH:
2098
                        begin
2099
                                store_what <= `SW_ACCDL;
2100
                                next_state(STORE1);
2101
                        end
2102
                `SW_ACCDL:      next_state(IFETCH);
2103
                `SW_DPR:        next_state(PUSH2);
2104
                `SW_XH:
2105
                        begin
2106
                                store_what <= `SW_XL;
2107
                                next_state(STORE1);
2108
                        end
2109
                `SW_XL:
2110
                        if (isINT | isPSHS | isPSHU)
2111
                                next_state(PUSH2);
2112
                        else    // STX
2113
                                next_state(IFETCH);
2114
                `SW_YH:
2115
                        begin
2116
                                store_what <= `SW_YL;
2117
                                next_state(STORE1);
2118
                        end
2119
                `SW_YL:
2120
                        if (isINT | isPSHS | isPSHU)
2121
                                next_state(PUSH2);
2122
                        else    // STY
2123
                                next_state(IFETCH);
2124
                `SW_USPH:
2125
                        begin
2126
                                store_what <= `SW_USPL;
2127
                                next_state(STORE1);
2128
                        end
2129
                `SW_USPL:
2130
                        if (isINT | isPSHS | isPSHU)
2131
                                next_state(PUSH2);
2132
                        else    // STU
2133
                                next_state(IFETCH);
2134
                `SW_SSPH:
2135
                        begin
2136
                                store_what <= `SW_SSPL;
2137
                                next_state(STORE1);
2138
                        end
2139
                `SW_SSPL:
2140
                        if (isINT | isPSHS | isPSHU)
2141
                                next_state(PUSH2);
2142
                        else    // STS
2143
                                next_state(IFETCH);
2144
                `SW_PC2316:
2145
                        begin
2146
                                store_what <= `SW_PCH;
2147
                                next_state(STORE1);
2148
                        end
2149
                `SW_PCH:
2150
                        begin
2151
                                store_what <= `SW_PCL;
2152
                                next_state(STORE1);
2153
                        end
2154
                `SW_PCL:
2155
                        if (isINT | isPSHS | isPSHU)
2156
                                next_state(PUSH2);
2157
                        else begin      // JSR
2158
                                next_state(IFETCH);
2159
                                case(ir12)
2160
                                `BSR:           pc <= pc + {{24{ir[BPBX2M1]}},ir[`HIBYTE]};
2161
                                `LBSR:  pc <= pc + {{12{ir[BPB*3-1]}},ir[`HIBYTE],ir[`BYTE3]};
2162
                                `JSR_DP:        pc <= {dpr,ir[`HIBYTE]};
2163
                                `JSR_EXT:       pc <= {pc[`BYTE3],address[`DBLBYTE]};
2164
                                `JSR_FAR:
2165
                                        begin
2166
                                                pc <= far_address;
2167
                                                $display("Loading PC with %h", far_address);
2168
                                        end
2169
                                `JSR_NDX:
2170
                                        begin
2171
                                                if (isIndirect) begin
2172
                                                        radr <= NdxAddr;
2173
                                                        load_what <= isFar ? `LW_PC2316 : `LW_PCH;
2174
                                                        next_state(LOAD1);
2175
                                                end
2176
                                                else
2177
                                                        pc <= isFar ? NdxAddr : {pc[`BYTE3],NdxAddr[`DBLBYTE]};
2178
                                        end
2179
                                endcase
2180
                        end
2181
                endcase
2182
`ifdef SUPPORT_DCACHE
2183
                if (!dhit && write_allocate) begin
2184
                        state <= DCACHE1;
2185
                end
2186
`endif
2187
        end
2188
`ifdef SUPPORT_BERR
2189
        else if (err_i) begin
2190
                lock_o <= 1'b0;
2191
                wb_nack();
2192
                state <= BUS_ERROR;
2193
        end
2194
`endif
2195
end
2196
endtask
2197
 
2198
// ============================================================================
2199
// WRITEBACK
2200
//
2201
// Write results back to the register file and status flags.
2202
// Which registers and flags get updated depend on the instruction.
2203
// ============================================================================
2204
 
2205
task tWriteback;
2206
begin
2207
        if (first_ifetch) begin
2208
                first_ifetch <= `FALSE;
2209
                case(ir12)
2210
                `ABX:   xr <= res;
2211
                `ADDA_IMM,`ADDA_DP,`ADDA_NDX,`ADDA_EXT,
2212
                `ADCA_IMM,`ADCA_DP,`ADCA_NDX,`ADCA_EXT:
2213
                        begin
2214
                                cf <= (a[BPBM1]&b[BPBM1])|(a[BPBM1]&~res12[BPBM1])|(b[BPBM1]&~res12[BPBM1]);
2215
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2216
                                vf <= (res12[BPBM1] ^ b[BPBM1]) & (1'b1 ^ a[BPBM1] ^ b[BPBM1]);
2217
                                nf <= res12[BPBM1];
2218
                                zf <= res12[`LOBYTE]==12'h000;
2219
                                acca <= res12[`LOBYTE];
2220
                        end
2221
                `ADDB_IMM,`ADDB_DP,`ADDB_NDX,`ADDB_EXT,
2222
                `ADCB_IMM,`ADCB_DP,`ADCB_NDX,`ADCB_EXT:
2223
                        begin
2224
                                cf <= (a[BPBM1]&b[BPBM1])|(a[BPBM1]&~res12[BPBM1])|(b[BPBM1]&~res12[BPBM1]);
2225
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2226
                                vf <= (res12[BPBM1] ^ b[BPBM1]) & (1'b1 ^ a[BPBM1] ^ b[BPBM1]);
2227
                                nf <= res12[BPBM1];
2228
                                zf <= res12[`LOBYTE]==12'h000;
2229
                                accb <= res12[`LOBYTE];
2230
                        end
2231
                `ADDD_IMM,`ADDD_DP,`ADDD_NDX,`ADDD_EXT:
2232
                        begin
2233
                                cf <= (a[BPBX2M1]&b[BPBX2M1])|(a[BPBX2M1]&~res[BPBX2M1])|(b[BPBX2M1]&~res[BPBX2M1]);
2234
                                vf <= (res[BPBX2M1] ^ b[BPBX2M1]) & (1'b1 ^ a[BPBX2M1] ^ b[BPBX2M1]);
2235
                                nf <= res[BPBX2M1];
2236
                                zf <= res[`DBLBYTE]==24'h000000;
2237
                                acca <= res[`HIBYTE];
2238
                                accb <= res[`LOBYTE];
2239
                        end
2240
                `ANDA_IMM,`ANDA_DP,`ANDA_NDX,`ANDA_EXT:
2241
                        begin
2242
                                nf <= res12n;
2243
                                zf <= res12z;
2244
                                vf <= 1'b0;
2245
                                acca <= res12[`LOBYTE];
2246
                        end
2247
                `ANDB_IMM,`ANDB_DP,`ANDB_NDX,`ANDB_EXT:
2248
                        begin
2249
                                nf <= res12n;
2250
                                zf <= res12z;
2251
                                vf <= 1'b0;
2252
                                accb <= res12[`LOBYTE];
2253
                        end
2254
                `ASLA:
2255
                        begin
2256
                                cf <= res12c;
2257
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2258
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2259
                                nf <= res12[BPBM1];
2260
                                zf <= res12[`LOBYTE]==12'h000;
2261
                                acca <= res12[`LOBYTE];
2262
                        end
2263
                `ASLB:
2264
                        begin
2265
                                cf <= res12c;
2266
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2267
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2268
                                nf <= res12[BPBM1];
2269
                                zf <= res12[`LOBYTE]==12'h000;
2270
                                accb <= res12[`LOBYTE];
2271
                        end
2272
                `ASL_DP,`ASL_NDX,`ASL_EXT:
2273
                        begin
2274
                                cf <= res12c;
2275
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2276
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2277
                                nf <= res12[BPBM1];
2278
                                zf <= res12[`LOBYTE]==12'h000;
2279
                        end
2280
                `ASRA:
2281
                        begin
2282
                                cf <= res12c;
2283
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2284
                                nf <= res12[BPBM1];
2285
                                zf <= res12[`LOBYTE]==12'h000;
2286
                                acca <= res12[`LOBYTE];
2287
                        end
2288
                `ASRB:
2289
                        begin
2290
                                cf <= res12c;
2291
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2292
                                nf <= res12[BPBM1];
2293
                                zf <= res12[`LOBYTE]==12'h000;
2294
                                accb <= res12[`LOBYTE];
2295
                        end
2296
                `ASR_DP,`ASR_NDX,`ASR_EXT:
2297
                        begin
2298
                                cf <= res12c;
2299
                                hf <= (a[`HCBIT]&b[`HCBIT])|(a[`HCBIT]&~res12[`HCBIT])|(b[`HCBIT]&~res12[`HCBIT]);
2300
                                nf <= res12[BPBM1];
2301
                                zf <= res12[`LOBYTE]==12'h000;
2302
                        end
2303
                `BITA_IMM,`BITA_DP,`BITA_NDX,`BITA_EXT,
2304
                `BITB_IMM,`BITB_DP,`BITB_NDX,`BITB_EXT:
2305
                        begin
2306
                                vf <= 1'b0;
2307
                                nf <= res12[BPBM1];
2308
                                zf <= res12[`LOBYTE]==12'h000;
2309
                        end
2310
                `CLRA:
2311
                        begin
2312
                                vf <= 1'b0;
2313
                                cf <= 1'b0;
2314
                                nf <= 1'b0;
2315
                                zf <= 1'b1;
2316
                                acca <= 12'h000;
2317
                        end
2318
                `CLRB:
2319
                        begin
2320
                                vf <= 1'b0;
2321
                                cf <= 1'b0;
2322
                                nf <= 1'b0;
2323
                                zf <= 1'b1;
2324
                                accb <= 12'h000;
2325
                        end
2326
                `CLR_DP,`CLR_NDX,`CLR_EXT:
2327
                        begin
2328
                                vf <= 1'b0;
2329
                                cf <= 1'b0;
2330
                                nf <= 1'b0;
2331
                                zf <= 1'b1;
2332
                        end
2333
                `CMPA_IMM,`CMPA_DP,`CMPA_NDX,`CMPA_EXT,
2334
                `CMPB_IMM,`CMPB_DP,`CMPB_NDX,`CMPB_EXT:
2335
                        begin
2336
                                cf <= (~a[BPBM1]&b[BPBM1])|(res12[BPBM1]&~a[BPBM1])|(res12[BPBM1]&b[BPBM1]);
2337
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2338
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2339
                                nf <= res12[BPBM1];
2340
                                zf <= res12[`LOBYTE]==12'h000;
2341
                        end
2342
                `CMPD_IMM,`CMPD_DP,`CMPD_NDX,`CMPD_EXT:
2343
                        begin
2344
                                cf <= (~a[BPBX2M1]&b[BPBX2M1])|(res[BPBX2M1]&~a[BPBX2M1])|(res[BPBX2M1]&b[BPBX2M1]);
2345
                                vf <= (1'b1 ^ res[BPBX2M1] ^ b[BPBX2M1]) & (a[BPBX2M1] ^ b[BPBX2M1]);
2346
                                nf <= res[BPBX2M1];
2347
                                zf <= res[`DBLBYTE]==24'h000000;
2348
                        end
2349
                `CMPS_IMM,`CMPS_DP,`CMPS_NDX,`CMPS_EXT,
2350
                `CMPU_IMM,`CMPU_DP,`CMPU_NDX,`CMPU_EXT,
2351
                `CMPX_IMM,`CMPX_DP,`CMPX_NDX,`CMPX_EXT,
2352
                `CMPY_IMM,`CMPY_DP,`CMPY_NDX,`CMPY_EXT:
2353
                        begin
2354
                                cf <= (~a[BPBX2M1]&b[BPBX2M1])|(res[BPBX2M1]&~a[BPBX2M1])|(res[BPBX2M1]&b[BPBX2M1]);
2355
                                vf <= (1'b1 ^ res[BPBX2M1] ^ b[BPBX2M1]) & (a[BPBX2M1] ^ b[BPBX2M1]);
2356
                                nf <= res[BPBX2M1];
2357
                                zf <= res[`DBLBYTE]==24'h000000;
2358
                        end
2359
                `COMA:
2360
                        begin
2361
                                cf <= 1'b1;
2362
                                vf <= 1'b0;
2363
                                nf <= res12n;
2364
                                zf <= res12z;
2365
                                acca <= res12[`LOBYTE];
2366
                        end
2367
                `COMB:
2368
                        begin
2369
                                cf <= 1'b1;
2370
                                vf <= 1'b0;
2371
                                nf <= res12n;
2372
                                zf <= res12z;
2373
                                accb <= res12[`LOBYTE];
2374
                        end
2375
                `COM_DP,`COM_NDX,`COM_EXT:
2376
                        begin
2377
                                cf <= 1'b1;
2378
                                vf <= 1'b0;
2379
                                nf <= res12n;
2380
                                zf <= res12z;
2381
                        end
2382
                `DAA:
2383
                        begin
2384
                                cf <= res12c;
2385
                                zf <= res12z;
2386
                                nf <= res12n;
2387
                                vf <= (res12[BPBM1] ^ b[BPBM1]) & (1'b1 ^ a[BPBM1] ^ b[BPBM1]);
2388
                                acca <= res12[`LOBYTE];
2389
                        end
2390
                `DECA:
2391
                        begin
2392
                                nf <= res12n;
2393
                                zf <= res12z;
2394
                                vf <= res12[BPBM1] != acca[BPBM1];
2395
                                acca <= res12[`LOBYTE];
2396
                        end
2397
                `DECB:
2398
                        begin
2399
                                nf <= res12n;
2400
                                zf <= res12z;
2401
                                vf <= res12[BPBM1] != accb[BPBM1];
2402
                                accb <= res12[`LOBYTE];
2403
                        end
2404
                `DEC_DP,`DEC_NDX,`DEC_EXT:
2405
                        begin
2406
                                nf <= res12n;
2407
                                zf <= res12z;
2408
                                vf <= res12[BPBM1] != b[BPBM1];
2409
                        end
2410
                `EORA_IMM,`EORA_DP,`EORA_NDX,`EORA_EXT,
2411
                `ORA_IMM,`ORA_DP,`ORA_NDX,`ORA_EXT:
2412
                        begin
2413
                                nf <= res12n;
2414
                                zf <= res12z;
2415
                                vf <= 1'b0;
2416
                                acca <= res12[`LOBYTE];
2417
                        end
2418
                `EORB_IMM,`EORB_DP,`EORB_NDX,`EORB_EXT,
2419
                `ORB_IMM,`ORB_DP,`ORB_NDX,`ORB_EXT:
2420
                        begin
2421
                                nf <= res12n;
2422
                                zf <= res12z;
2423
                                vf <= 1'b0;
2424
                                accb <= res12[`LOBYTE];
2425
                        end
2426
                `EXG:
2427
                        begin
2428
                                case(ir[bitsPerByte+3:bitsPerByte])
2429
                                4'b0000:
2430
                                                        begin
2431
                                                                acca <= src1[`HIBYTE];
2432
                                                                accb <= src1[`LOBYTE];
2433
                                                        end
2434
                                4'b0001:        xr <= src1;
2435
                                4'b0010:        yr <= src1;
2436
                                4'b0011:        usp <= src1;
2437
                                4'b0100:        begin ssp <= src1; nmi_armed <= `TRUE; end
2438
                                4'b0101:        pc <= src1[`DBLBYTE];
2439
                                4'b1000:        acca <= src1[`LOBYTE];
2440
                                4'b1001:        accb <= src1[`LOBYTE];
2441
                                4'b1010:
2442
                                        begin
2443
                                                cf <= src1[0];
2444
                                                vf <= src1[1];
2445
                                                zf <= src1[2];
2446
                                                nf <= src1[3];
2447
                                                im <= src1[4];
2448
                                                hf <= src1[5];
2449
                                                firqim <= src1[6];
2450
                                                ef <= src1[7];
2451
                                        end
2452
                                4'b1011:        dpr <= src1[`LOBYTE];
2453
                                4'b1110:        usppg <= src1[`DBLBYTE];
2454
                                4'b1111:        ;
2455
                                default:        ;
2456
                                endcase
2457
                                case(ir[bitsPerByte+7:bitsPerByte+4])
2458
                                4'b0000:
2459
                                                        begin
2460
                                                                acca <= src2[`HIBYTE];
2461
                                                                accb <= src2[`LOBYTE];
2462
                                                        end
2463
                                4'b0001:        xr <= src2;
2464
                                4'b0010:        yr <= src2;
2465
                                4'b0011:        usp <= src2;
2466
                                4'b0100:        begin ssp <= src2; nmi_armed <= `TRUE; end
2467
                                4'b0101:        pc <= src2[`DBLBYTE];
2468
                                4'b1000:        acca <= src2[`LOBYTE];
2469
                                4'b1001:        accb <= src2[`LOBYTE];
2470
                                4'b1010:
2471
                                        begin
2472
                                                cf <= src2[0];
2473
                                                vf <= src2[1];
2474
                                                zf <= src2[2];
2475
                                                nf <= src2[3];
2476
                                                im <= src2[4];
2477
                                                hf <= src2[5];
2478
                                                firqim <= src2[6];
2479
                                                ef <= src2[7];
2480
                                        end
2481
                                4'b1011:        dpr <= src2[`LOBYTE];
2482
                                4'b1110:        usppg <= src2[`DBLBYTE];
2483
                                4'b1111:        ;
2484
                                default:        ;
2485
                                endcase
2486
                        end
2487
                `INCA:
2488
                        begin
2489
                                nf <= res12n;
2490
                                zf <= res12z;
2491
                                vf <= res12[BPBM1] != acca[BPBM1];
2492
                                acca <= res12[`LOBYTE];
2493
                        end
2494
                `INCB:
2495
                        begin
2496
                                nf <= res12n;
2497
                                zf <= res12z;
2498
                                vf <= res12[BPBM1] != accb[BPBM1];
2499
                                accb <= res12[`LOBYTE];
2500
                        end
2501
                `INC_DP,`INC_NDX,`INC_EXT:
2502
                        begin
2503
                                nf <= res12n;
2504
                                zf <= res12z;
2505
                                vf <= res12[BPBM1] != b[BPBM1];
2506
                        end
2507
                `LDA_IMM,`LDA_DP,`LDA_NDX,`LDA_EXT:
2508
                        begin
2509
                                vf <= 1'b0;
2510
                                zf <= res12z;
2511
                                nf <= res12n;
2512
                                acca <= res12[`LOBYTE];
2513
                        end
2514
                `LDB_IMM,`LDB_DP,`LDB_NDX,`LDB_EXT:
2515
                        begin
2516
                                vf <= 1'b0;
2517
                                zf <= res12z;
2518
                                nf <= res12n;
2519
                                accb <= res12[`LOBYTE];
2520
                        end
2521
                `LDD_IMM,`LDD_DP,`LDD_NDX,`LDD_EXT:
2522
                        begin
2523
                                vf <= 1'b0;
2524
                                zf <= res24z;
2525
                                nf <= res24n;
2526
                                acca <= res[`HIBYTE];
2527
                                accb <= res[`LOBYTE];
2528
                        end
2529
                `LDU_IMM,`LDU_DP,`LDU_NDX,`LDU_EXT:
2530
                        begin
2531
                                vf <= 1'b0;
2532
                                zf <= res24z;
2533
                                nf <= res24n;
2534
                                usp <= res[`DBLBYTE];
2535
                        end
2536
                `LDS_IMM,`LDS_DP,`LDS_NDX,`LDS_EXT:
2537
                        begin
2538
                                vf <= 1'b0;
2539
                                zf <= res24z;
2540
                                nf <= res24n;
2541
                                ssp <= res[`DBLBYTE];
2542
                                nmi_armed <= 1'b1;
2543
                        end
2544
                `LDX_IMM,`LDX_DP,`LDX_NDX,`LDX_EXT:
2545
                        begin
2546
                                vf <= 1'b0;
2547
                                zf <= res24z;
2548
                                nf <= res24n;
2549
                                xr <= res[`DBLBYTE];
2550
                        end
2551
                `LDY_IMM,`LDY_DP,`LDY_NDX,`LDY_EXT:
2552
                        begin
2553
                                vf <= 1'b0;
2554
                                zf <= res24z;
2555
                                nf <= res24n;
2556
                                yr <= res[`DBLBYTE];
2557
                        end
2558
                `LEAS_NDX:
2559
                        begin ssp <= res[`DBLBYTE]; nmi_armed <= 1'b1; end
2560
                `LEAU_NDX:
2561
                        usp <= res[`DBLBYTE];
2562
                `LEAX_NDX:
2563
                        begin
2564
                                zf <= res24z;
2565
                                xr <= res[`DBLBYTE];
2566
                        end
2567
                `LEAY_NDX:
2568
                        begin
2569
                                zf <= res24z;
2570
                                yr <= res[`DBLBYTE];
2571
                        end
2572
                `LSRA:
2573
                        begin
2574
                                cf <= res12c;
2575
                                nf <= res12[BPBM1];
2576
                                zf <= res12[`LOBYTE]==12'h000;
2577
                                acca <= res12[`LOBYTE];
2578
                        end
2579
                `LSRB:
2580
                        begin
2581
                                cf <= res12c;
2582
                                nf <= res12[BPBM1];
2583
                                zf <= res12[`LOBYTE]==12'h000;
2584
                                accb <= res12[`LOBYTE];
2585
                        end
2586
                `LSR_DP,`LSR_NDX,`LSR_EXT:
2587
                        begin
2588
                                cf <= res12c;
2589
                                nf <= res12[BPBM1];
2590
                                zf <= res12[`LOBYTE]==12'h000;
2591
                        end
2592
                `MUL:
2593
                        begin
2594
                                cf <= prod[BPBM1];
2595
                                zf <= res24z;
2596
                                acca <= prod[`HIBYTE];
2597
                                accb <= prod[`LOBYTE];
2598
                        end
2599
                `NEGA:
2600
                        begin
2601
                                cf <= (~a[BPBM1]&b[BPBM1])|(res12[BPBM1]&~a[BPBM1])|(res12[BPBM1]&b[BPBM1]);
2602
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2603
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2604
                                nf <= res12[BPBM1];
2605
                                zf <= res12[`LOBYTE]==12'h000;
2606
                                acca <= res12[`LOBYTE];
2607
                        end
2608
                `NEGB:
2609
                        begin
2610
                                cf <= (~a[BPBM1]&b[BPBM1])|(res12[BPBM1]&~a[BPBM1])|(res12[BPBM1]&b[BPBM1]);
2611
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2612
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2613
                                nf <= res12[BPBM1];
2614
                                zf <= res12[`LOBYTE]==12'h000;
2615
                                accb <= res12[`LOBYTE];
2616
                        end
2617
                `NEG_DP,`NEG_NDX,`NEG_EXT:
2618
                        begin
2619
                                cf <= (~a[BPBM1]&b[BPBM1])|(res12[BPBM1]&~a[BPBM1])|(res12[BPBM1]&b[BPBM1]);
2620
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2621
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2622
                                nf <= res12[BPBM1];
2623
                                zf <= res12[`LOBYTE]==12'h000;
2624
                        end
2625
                `ROLA:
2626
                        begin
2627
                                cf <= res12c;
2628
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2629
                                nf <= res12[BPBM1];
2630
                                zf <= res12[`LOBYTE]==12'h000;
2631
                                acca <= res12[`LOBYTE];
2632
                        end
2633
                `ROLB:
2634
                        begin
2635
                                cf <= res12c;
2636
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2637
                                nf <= res12[BPBM1];
2638
                                zf <= res12[`LOBYTE]==12'h000;
2639
                                accb <= res12[`LOBYTE];
2640
                        end
2641
                `ROL_DP,`ROL_NDX,`ROL_EXT:
2642
                        begin
2643
                                cf <= res12c;
2644
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2645
                                nf <= res12[BPBM1];
2646
                                zf <= res12[`LOBYTE]==12'h000;
2647
                        end
2648
                `RORA:
2649
                        begin
2650
                                cf <= res12c;
2651
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2652
                                nf <= res12[BPBM1];
2653
                                zf <= res12[`LOBYTE]==12'h000;
2654
                                acca <= res12[`LOBYTE];
2655
                        end
2656
                `RORB:
2657
                        begin
2658
                                cf <= res12c;
2659
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2660
                                nf <= res12[BPBM1];
2661
                                zf <= res12[`LOBYTE]==12'h000;
2662
                                accb <= res12[`LOBYTE];
2663
                        end
2664
                `ROR_DP,`ROR_NDX,`ROR_EXT:
2665
                        begin
2666
                                cf <= res12c;
2667
                                vf <= res12[BPBM1] ^ res12[bitsPerByte];
2668
                                nf <= res12[BPBM1];
2669
                                zf <= res12[`LOBYTE]==12'h000;
2670
                        end
2671
                `SBCA_IMM,`SBCA_DP,`SBCA_NDX,`SBCA_EXT:
2672
                        begin
2673
                                cf <= (~a[BPBM1]&b[BPBM1])|(res12[BPBM1]&~a[BPBM1])|(res12[BPBM1]&b[BPBM1]);
2674
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2675
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2676
                                nf <= res12[BPBM1];
2677
                                zf <= res12[`LOBYTE]==12'h000;
2678
                                acca <= res12[`LOBYTE];
2679
                        end
2680
                `SBCB_IMM,`SBCB_DP,`SBCB_NDX,`SBCB_EXT:
2681
                        begin
2682
                                cf <= (~a[BPBM1]&b[BPBM1])|(res12[BPBM1]&~a[BPBM1])|(res12[BPBM1]&b[BPBM1]);
2683
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2684
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2685
                                nf <= res12[BPBM1];
2686
                                zf <= res12[`LOBYTE]==12'h000;
2687
                                accb <= res12[`LOBYTE];
2688
                        end
2689
                `SEX:
2690
                        begin
2691
                                vf <= 1'b0;
2692
                                nf <= res12n;
2693
                                zf <= res12z;
2694
                                acca <= res12[`LOBYTE];
2695
                        end
2696
                `STA_DP,`STA_NDX,`STA_EXT,
2697
                `STB_DP,`STB_NDX,`STB_EXT:
2698
                        begin
2699
                                vf <= 1'b0;
2700
                                zf <= res12z;
2701
                                nf <= res12n;
2702
                        end
2703
                `STD_DP,`STD_NDX,`STD_EXT,
2704
                `STU_DP,`STU_NDX,`STU_EXT,
2705
                `STX_DP,`STX_NDX,`STX_EXT,
2706
                `STY_DP,`STY_NDX,`STY_EXT:
2707
                        begin
2708
                                vf <= 1'b0;
2709
                                zf <= res24z;
2710
                                nf <= res24n;
2711
                        end
2712
                `TFR:
2713
                        begin
2714
                                case(ir[bitsPerByte+3:bitsPerByte])
2715
                                4'b0000:
2716
                                                        begin
2717
                                                                acca <= src1[`HIBYTE];
2718
                                                                accb <= src1[`LOBYTE];
2719
                                                        end
2720
                                4'b0001:        xr <= src1;
2721
                                4'b0010:        yr <= src1;
2722
                                4'b0011:        usp <= src1;
2723
                                4'b0100:        begin ssp <= src1; nmi_armed <= `TRUE; end
2724
                                4'b0101:        pc <= src1[`DBLBYTE];
2725
                                4'b1000:        acca <= src1[`LOBYTE];
2726
                                4'b1001:        accb <= src1[`LOBYTE];
2727
                                4'b1010:
2728
                                        begin
2729
                                                cf <= src1[0];
2730
                                                vf <= src1[1];
2731
                                                zf <= src1[2];
2732
                                                nf <= src1[3];
2733
                                                im <= src1[4];
2734
                                                hf <= src1[5];
2735
                                                firqim <= src1[6];
2736
                                                ef <= src1[7];
2737
                                        end
2738
                                4'b1011:        dpr <= src1[`LOBYTE];
2739
                                4'b1110:        usppg <= src1[`DBLBYTE];
2740
                                4'b1111:        ;
2741
                                default:        ;
2742
                                endcase
2743
                        end
2744
                `TSTA,`TSTB:
2745
                        begin
2746
                                vf <= 1'b0;
2747
                                nf <= res12n;
2748
                                zf <= res12z;
2749
                        end
2750
                `TSTD:
2751
                        begin
2752
                                vf <= 1'b0;
2753
                                nf <= res24n;
2754
                                zf <= res24z;
2755
                        end
2756
                `TST_DP,`TST_NDX,`TST_EXT:
2757
                        begin
2758
                                vf <= 1'b0;
2759
                                nf <= res12n;
2760
                                zf <= res12z;
2761
                        end
2762
                `SUBA_IMM,`SUBA_DP,`SUBA_NDX,`SUBA_EXT:
2763
                        begin
2764
                                acca <= res12[`LOBYTE];
2765
                                nf <= res12n;
2766
                                zf <= res12z;
2767
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2768
                                cf <= res12c;
2769
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2770
                        end
2771
                `SUBB_IMM,`SUBB_DP,`SUBB_NDX,`SUBB_EXT:
2772
                        begin
2773
                                accb <= res12[`LOBYTE];
2774
                                nf <= res12n;
2775
                                zf <= res12z;
2776
                                vf <= (1'b1 ^ res12[BPBM1] ^ b[BPBM1]) & (a[BPBM1] ^ b[BPBM1]);
2777
                                cf <= res12c;
2778
                                hf <= (~a[`HCBIT]&b[`HCBIT])|(res12[`HCBIT]&~a[`HCBIT])|(res12[`HCBIT]&b[`HCBIT]);
2779
                        end
2780
                `SUBD_IMM,`SUBD_DP,`SUBD_NDX,`SUBD_EXT:
2781
                        begin
2782
                                cf <= res24c;
2783
                                vf <= (1'b1 ^ res[BPBX2M1] ^ b[BPBX2M1]) & (a[BPBX2M1] ^ b[BPBX2M1]);
2784
                                nf <= res[BPBX2M1];
2785
                                zf <= res[`DBLBYTE]==24'h000000;
2786
                                acca <= res[`HIBYTE];
2787
                                accb <= res[`LOBYTE];
2788
                        end
2789
                endcase
2790
        end
2791
end
2792
endtask
2793
 
2794
task dp_store;
2795
input [5:0] stw;
2796
begin
2797
        store_what <= stw;
2798
        wadr <= dp_address;
2799
        pc <= pc + 2'd2;
2800
        next_state(STORE1);
2801
end
2802
endtask
2803
 
2804
task indexed_store;
2805
input [5:0] stw;
2806
begin
2807
        store_what <= stw;
2808
        pc <= pc + insnsz;
2809
        if (isIndirect) begin
2810
                load_what <= isFar ? `LW_IA2316 : `LW_IAH;
2811
                radr <= NdxAddr;
2812
                next_state(LOAD1);
2813
        end
2814
        else begin
2815
                wadr <= NdxAddr;
2816
                next_state(STORE1);
2817
        end
2818
end
2819
endtask
2820
 
2821
task ex_store;
2822
input [5:0] stw;
2823
begin
2824
        pc <= pc + (isFar ? 3'd4 : 3'd3);
2825
        store_what <= stw;
2826
        wadr <= ex_address;
2827
        next_state(STORE1);
2828
end
2829
endtask
2830
 
2831
task next_state;
2832
input [5:0] st;
2833
begin
2834
        state <= st;
2835
end
2836
endtask
2837
 
2838
task wb_burst;
2839
input [5:0] len;
2840
input [bitsPerByte*2-1:0] adr;
2841
begin
2842
        if (!tsc) begin
2843
                bte_o <= 2'b00;
2844
                cti_o <= 3'b001;
2845
                bl_o <= len;
2846
                cyc_o <= 1'b1;
2847
                stb_o <= 1'b1;
2848 12 robfinch
                we_o <= 1'b0;
2849 2 robfinch
                adr_o <= adr;
2850
        end
2851
end
2852
endtask
2853
 
2854
task wb_read;
2855
input [`TRPBYTE] adr;
2856
begin
2857
        if (!tsc) begin
2858
                cyc_o <= 1'b1;
2859
                stb_o <= 1'b1;
2860 12 robfinch
                we_o <= 1'b0;
2861 2 robfinch
                adr_o <= adr;
2862
        end
2863
end
2864
endtask
2865
 
2866
task wb_write;
2867
input [`TRPBYTE] adr;
2868
input [`LOBYTE] dat;
2869
begin
2870
        if (!tsc) begin
2871
                cyc_o <= 1'b1;
2872
                stb_o <= 1'b1;
2873
                we_o <= 1'b1;
2874
                adr_o <= adr;
2875
                dat_o <= dat;
2876
        end
2877
end
2878
endtask
2879
 
2880
task wb_nack;
2881
begin
2882
        cti_o <= 3'b000;
2883
        bl_o <= 6'd0;
2884
        cyc_o <= 1'b0;
2885
        stb_o <= 1'b0;
2886
        we_o <= 1'b0;
2887
        adr_o <= 24'd0;
2888
        dat_o <= 12'd0;
2889
end
2890
endtask
2891
 
2892
task load_tsk;
2893
input [`LOBYTE] dat;
2894
begin
2895
        case(load_what)
2896
        `LW_BH:
2897
                        begin
2898
                                radr <= radr + 2'd1;
2899
                                b[`HIBYTE] <= dat;
2900
                                load_what <= `LW_BL;
2901
                                next_state(LOAD1);
2902
                        end
2903
        `LW_BL:
2904
                        begin
2905
                                // Don't increment address here for the benefit of the memory
2906
                                // operate instructions which set wadr=radr in CALC.
2907
                                b[`LOBYTE] <= dat;
2908
                                next_state(CALC);
2909
                        end
2910
        `LW_CCR:        begin
2911
                                next_state(PULL1);
2912
                                radr <= radr + 2'd1;
2913
                                cf <= dat[0];
2914
                                vf <= dat[1];
2915
                                zf <= dat[2];
2916
                                nf <= dat[3];
2917
                                im <= dat[4];
2918
                                hf <= dat[5];
2919
                                firqim <= dat[6];
2920
                                ef <= dat[7];
2921
                                if (isRTI) begin
2922
                                        $display("loaded ccr=%b", dat);
2923
                                        ir[`HIBYTE] <= dat[7] ? 12'hFE : 12'h80;
2924
                                        ssp <= ssp + 2'd1;
2925
                                end
2926
                                else if (isPULS)
2927
                                        ssp <= ssp + 2'd1;
2928
                                else if (isPULU)
2929
                                        usp <= usp + 2'd1;
2930
                        end
2931
        `LW_ACCA:       begin
2932
                                acca <= dat;
2933
                                radr <= radr + 2'd1;
2934
                                if (isRTI) begin
2935
                                        $display("loaded acca=%h from %h", dat, radr);
2936
                                        ssp <= ssp + 2'd1;
2937
                                        next_state(PULL1);
2938
                                end
2939
                                else if (isPULU) begin
2940
                                        usp <= usp + 2'd1;
2941
                                        next_state(PULL1);
2942
                                end
2943
                                else if (isPULS) begin
2944
                                        ssp <= ssp + 2'd1;
2945
                                        next_state(PULL1);
2946
                                end
2947
                                else
2948
                                        next_state(IFETCH);
2949
                        end
2950
        `LW_ACCB:       begin
2951
                                accb <= dat;
2952
                                radr <= radr + 2'd1;
2953
                                if (isRTI) begin
2954 11 robfinch
                                        $display("loaded accb=%h from %h", dat, radr);
2955 2 robfinch
                                        ssp <= ssp + 2'd1;
2956
                                        next_state(PULL1);
2957
                                end
2958
                                else if (isPULU) begin
2959
                                        usp <= usp + 2'd1;
2960
                                        next_state(PULL1);
2961
                                end
2962
                                else if (isPULS) begin
2963
                                        ssp <= ssp + 2'd1;
2964
                                        next_state(PULL1);
2965
                                end
2966
                                else
2967
                                        next_state(IFETCH);
2968
                        end
2969
        `LW_DPR:        begin
2970
                                dpr <= dat;
2971
                                radr <= radr + 2'd1;
2972
                                if (isRTI) begin
2973
                                        $display("loaded dpr=%h from %h", dat, radr);
2974
                                        ssp <= ssp + 2'd1;
2975
                                        next_state(PULL1);
2976
                                end
2977
                                else if (isPULU) begin
2978
                                        usp <= usp + 2'd1;
2979
                                        next_state(PULL1);
2980
                                end
2981
                                else if (isPULS) begin
2982
                                        ssp <= ssp + 2'd1;
2983
                                        next_state(PULL1);
2984
                                end
2985
                                else
2986
                                        next_state(IFETCH);
2987
                        end
2988
        `LW_XH: begin
2989
                                load_what <= `LW_XL;
2990
                                next_state(LOAD1);
2991
                                xr[`HIBYTE] <= dat;
2992
                                radr <= radr + 2'd1;
2993
                                if (isRTI) begin
2994
                                        $display("loaded XH=%h from %h", dat, radr);
2995
                                        ssp <= ssp + 2'd1;
2996
                                end
2997
                                else if (isPULU) begin
2998
                                        usp <= usp + 2'd1;
2999
                                end
3000
                                else if (isPULS) begin
3001
                                        ssp <= ssp + 2'd1;
3002
                                end
3003
                        end
3004
        `LW_XL: begin
3005
                                xr[`LOBYTE] <= dat;
3006
                                radr <= radr + 2'd1;
3007
                                if (isRTI) begin
3008
                                        $display("loaded XL=%h from %h", dat, radr);
3009
                                        ssp <= ssp + 2'd1;
3010
                                        next_state(PULL1);
3011
                                end
3012
                                else if (isPULU) begin
3013
                                        usp <= usp + 2'd1;
3014
                                        next_state(PULL1);
3015
                                end
3016
                                else if (isPULS) begin
3017
                                        ssp <= ssp + 2'd1;
3018
                                        next_state(PULL1);
3019
                                end
3020
                                else
3021
                                        next_state(IFETCH);
3022
                        end
3023
        `LW_YH:
3024
                        begin
3025
                                load_what <= `LW_YL;
3026
                                next_state(LOAD1);
3027
                                yr[`HIBYTE] <= dat;
3028
                                radr <= radr + 2'd1;
3029
                                if (isRTI) begin
3030
                                        $display("loadded YH=%h", dat);
3031
                                        ssp <= ssp + 2'd1;
3032
                                end
3033
                                else if (isPULU) begin
3034
                                        usp <= usp + 2'd1;
3035
                                end
3036
                                else if (isPULS) begin
3037
                                        ssp <= ssp + 2'd1;
3038
                                end
3039
                        end
3040
        `LW_YL: begin
3041
                                yr[`LOBYTE] <= dat;
3042
                                radr <= radr + 2'd1;
3043
                                if (isRTI) begin
3044
                                        $display("loadded YL=%h", dat);
3045
                                        ssp <= ssp + 2'd1;
3046
                                        next_state(PULL1);
3047
                                end
3048
                                else if (isPULU) begin
3049
                                        usp <= usp + 2'd1;
3050
                                        next_state(PULL1);
3051
                                end
3052
                                else if (isPULS) begin
3053
                                        ssp <= ssp + 2'd1;
3054
                                        next_state(PULL1);
3055
                                end
3056
                                else
3057
                                        next_state(IFETCH);
3058
                        end
3059
        `LW_USPH:       begin
3060
                                load_what <= `LW_USPL;
3061
                                next_state(LOAD1);
3062
                                usp[`HIBYTE] <= dat;
3063
                                radr <= radr + 2'd1;
3064
                                if (isRTI) begin
3065
                                        $display("loadded USPH=%h", dat);
3066
                                        ssp <= ssp + 2'd1;
3067
                                end
3068
                                else if (isPULS) begin
3069
                                        ssp <= ssp + 2'd1;
3070
                                end
3071
                        end
3072
        `LW_USPL:       begin
3073
                                usp[`LOBYTE] <= dat;
3074
                                radr <= radr + 2'd1;
3075
                                if (isRTI) begin
3076
                                        $display("loadded USPL=%h", dat);
3077
                                        ssp <= ssp + 2'd1;
3078
                                        next_state(PULL1);
3079
                                end
3080
                                else if (isPULS) begin
3081
                                        ssp <= ssp + 2'd1;
3082
                                        next_state(PULL1);
3083
                                end
3084
                                else
3085
                                        next_state(IFETCH);
3086
                        end
3087
        `LW_SSPH:       begin
3088
                                load_what <= `LW_SSPL;
3089
                                next_state(LOAD1);
3090
                                ssp[`HIBYTE] <= dat;
3091
                                radr <= radr + 2'd1;
3092
                                if (isRTI) begin
3093
                                        ssp <= ssp + 2'd1;
3094
                                end
3095
                                else if (isPULU) begin
3096
                                        usp <= usp + 2'd1;
3097
                                end
3098
                        end
3099
        `LW_SSPL:       begin
3100
                                ssp[`LOBYTE] <= dat;
3101
                                radr <= radr + 2'd1;
3102
                                if (isRTI) begin
3103
                                        ssp <= ssp + 2'd1;
3104
                                        next_state(PULL1);
3105
                                end
3106
                                else if (isPULU) begin
3107
                                        usp <= usp + 2'd1;
3108
                                        next_state(PULL1);
3109
                                end
3110
                                else
3111
                                        next_state(IFETCH);
3112
                        end
3113
        `LW_PCL:        begin
3114
                                pc[`LOBYTE] <= dat;
3115
                                radr <= radr + 2'd1;
3116 11 robfinch
                                // If loading from the vector table in bank zero, force pc[23:16]=0
3117
                                if (radr[`BYTE3]=={BPB{1'b0}} && radr[`BYTE2]=={BPB{1'b1}} && radr[7:4]==4'hF)
3118
                                        pc[`BYTE3] <= {BPB{1'b0}};
3119 2 robfinch
                                if (isRTI|isRTS|isPULS) begin
3120
                                        $display("loadded PCL=%h", dat);
3121
                                        ssp <= ssp + 2'd1;
3122
                                end
3123
                                else if (isPULU)
3124
                                        usp <= usp + 2'd1;
3125
                                next_state(IFETCH);
3126
                        end
3127
        `LW_PCH:        begin
3128
                                pc[`HIBYTE] <= dat;
3129
                                load_what <= `LW_PCL;
3130
                                radr <= radr + 2'd1;
3131
                                if (isRTI|isRTS|isPULS) begin
3132
                                        $display("loadded PCH=%h", dat);
3133
                                        ssp <= ssp + 2'd1;
3134
                                end
3135
                                else if (isPULU)
3136
                                        usp <= usp + 2'd1;
3137
                                next_state(LOAD1);
3138
                        end
3139
        `LW_PC2316:     begin
3140
                                pc[`BYTE3] <= dat;
3141
                                load_what <= `LW_PCH;
3142
                                radr <= radr + 16'd1;
3143
                                if (isRTI|isRTF|isPULS)
3144
                                        ssp <= ssp + 16'd1;
3145
                                else if (isPULU)
3146
                                        usp <= usp + 16'd1;
3147
                                next_state(LOAD1);
3148
                        end
3149
        `LW_IAL:
3150
                        begin
3151
                                ia[`LOBYTE] <= dat;
3152
                                res[`LOBYTE] <= dat;
3153
                                radr <= {ia[`BYTE3],ia[`HIBYTE],dat};
3154
                                wadr <= {ia[`BYTE3],ia[`HIBYTE],dat};
3155
`ifdef SUPPORT_DBL_IND
3156
                                if (isDblIndirect) begin
3157
          load_what <= `LW_IAH;
3158
          next_state(LOAD1);
3159
          isDblIndirect <= `FALSE;
3160
                                end
3161
                                else
3162
`endif
3163
                                begin
3164
          load_what <= load_what2;
3165
          if (isOuterIndexed)
3166
              next_state(OUTER_INDEXING);
3167
          else begin
3168
              if (isLEA)
3169
                  next_state(IFETCH);
3170
              else if (isStore)
3171
                  next_state(STORE1);
3172
              else
3173
                  next_state(LOAD1);
3174
          end
3175
                                end
3176
                        end
3177
        `LW_IAH:
3178
                        begin
3179
                                ia[`HIBYTE] <= dat;
3180
                                res[`HIBYTE] <= dat;
3181
                                load_what <= `LW_IAL;
3182
                                radr <= radr + 2'd1;
3183
                                next_state(LOAD1);
3184
                        end
3185
        `LW_IA2316:
3186
                        begin
3187
                                ia[`BYTE3] <= dat;
3188
                                load_what <= `LW_IAH;
3189
                                radr <= radr + 32'd1;
3190
                                next_state(LOAD1);
3191
                        end
3192
        endcase
3193
end
3194
endtask
3195
 
3196
endmodule
3197
 
3198
// ============================================================================
3199
// Cache Memories
3200
// ============================================================================
3201
module rf6809_icachemem(wclk, wce, wr, wa, i, rclk, rce, pc, insn);
3202
input wclk;
3203
input wce;
3204
input wr;
3205
input [11:0] wa;
3206
input [BPB*16-1:0] i;
3207
input rclk;
3208
input rce;
3209
input [11:0] pc;
3210
output [`HEXBYTE] insn;
3211
reg [`HEXBYTE] insn;
3212
 
3213
integer n;
3214
reg [BPB*16-1:0] mem [0:255];
3215
reg [11:0] rpc,rpcp16;
3216
initial begin
3217
        for (n = 0; n < 256; n = n + 1)
3218
                mem[n] = {16{`NOP}};
3219
end
3220
 
3221
always_ff @(posedge wclk)
3222
        if (wce & wr) mem[wa[11:4]] <= i;
3223
 
3224
always_ff @(posedge rclk)
3225
        if (rce) rpc <= pc;
3226
always_ff @(posedge rclk)
3227
        if (rce) rpcp16 <= pc + 5'd16;
3228
wire [BPB*16-1:0] insn0 = mem[rpc[11:4]];
3229
wire [BPB*16-1:0] insn1 = mem[rpcp16[11:4]];
3230
always_comb
3231
        insn = {insn1,insn0} >> ({4'h0,rpc[3:0]} * BPB);
3232
 
3233
endmodule
3234
 
3235
module rf6809_itagmem(wclk, wce, wr, wa, invalidate, rclk, rce, pc, hit0, hit1);
3236
input wclk;
3237
input wce;
3238
input wr;
3239
input [`TRPBYTE] wa;
3240
input invalidate;
3241
input rclk;
3242
input rce;
3243
input [`TRPBYTE] pc;
3244
output hit0;
3245
output hit1;
3246
 
3247
integer n;
3248
reg [BPB*3-1:12] mem [0:255];
3249
reg [0:255] tvalid = 256'd0;
3250
reg [`TRPBYTE] rpc,rpcp16;
3251
wire [BPB*3-1:11] tag0,tag1;
3252
initial begin
3253
        for (n = 0; n < 256; n = n + 1)
3254
                mem[n] = {BPB*2{1'b0}};
3255
end
3256
 
3257
always_ff @(posedge wclk)
3258
        if (wce & wr) mem[wa[11:4]] <= wa[BPB*3-1:12];
3259
always_ff @(posedge wclk)
3260
        if (invalidate) tvalid <= 256'd0;
3261
        else if (wce & wr) tvalid[wa[11:4]] <= 1'b1;
3262
always_ff @(posedge rclk)
3263
        if (rce) rpc <= pc;
3264
always_ff @(posedge rclk)
3265
        if (rce) rpcp16 <= pc + 5'd16;
3266
assign tag0 = {mem[rpc[11:4]],tvalid[rpc[11:4]]};
3267
assign tag1 = {mem[rpcp16[11:4]],tvalid[rpcp16[11:4]]};
3268
 
3269
assign hit0 = tag0 == {rpc[BPB*3-1:12],1'b1};
3270 12 robfinch
// Consider a hit on port 1 if the instruction will not span onto it.
3271
assign hit1 = tag1 == {rpcp16[BPB*3-1:12],1'b1} || rpc[3:0] < 4'h9;
3272 2 robfinch
 
3273
endmodule
3274
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.