OpenCores
URL https://opencores.org/ocsvn/rf6809/rf6809/trunk

Subversion Repositories rf6809

[/] [rf6809/] [trunk/] [software/] [vbcc/] [datatypes/] [dt12t.c] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 robfinch
from)
2
{
3
         DTFTYPE to;
4
   to.a[0]=from&255;
5
   to.a[1]=(from>>8)&15;
6
   return to;
7
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.