OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [idmem.vhd] - Blame information for rev 148

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 110 cwalter
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2005 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file idmem.vhd when simulating
30
-- the core, idmem. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synopsys directives "translate_off/translate_on" specified
35
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
-- synopsys translate_off
41
library XilinxCoreLib;
42
-- synopsys translate_on
43
entity idmem is
44
  port (
45
    addr  : in  std_logic_vector(11 downto 0);
46
    clk   : in  std_logic;
47
    din   : in  std_logic_vector(15 downto 0);
48
    dout  : out std_logic_vector(15 downto 0);
49
    sinit : in  std_logic;
50
    we    : in  std_logic);
51
end idmem;
52
 
53
architecture idmem_a of idmem is
54
-- synopsys translate_off
55
  component wrapped_idmem
56
    port (
57
      addr  : in  std_logic_vector(11 downto 0);
58
      clk   : in  std_logic;
59
      din   : in  std_logic_vector(15 downto 0);
60
      dout  : out std_logic_vector(15 downto 0);
61
      sinit : in  std_logic;
62
      we    : in  std_logic);
63
  end component;
64
 
65
-- Configuration specification 
66
  for all : wrapped_idmem use entity XilinxCoreLib.blkmemsp_v6_2(behavioral)
67
    generic map(
68
      c_sinit_value           => "0",
69
      c_has_en                => 0,
70
      c_reg_inputs            => 0,
71
      c_yclk_is_rising        => 1,
72
      c_ysinit_is_high        => 0,
73
      c_ywe_is_high           => 1,
74
      c_yprimitive_type       => "8kx2",
75
      c_ytop_addr             => "1024",
76
      c_yhierarchy            => "hierarchy1",
77
      c_has_limit_data_pitch  => 0,
78
      c_has_rdy               => 0,
79
      c_write_mode            => 0,
80
      c_width                 => 16,
81
      c_yuse_single_primitive => 0,
82
      c_has_nd                => 0,
83
      c_has_we                => 1,
84
      c_enable_rlocs          => 0,
85
      c_has_rfd               => 0,
86
      c_has_din               => 1,
87
      c_ybottom_addr          => "0",
88
      c_pipe_stages           => 0,
89
      c_yen_is_high           => 1,
90
      c_depth                 => 4096,
91
      c_has_default_data      => 0,
92
      c_limit_data_pitch      => 18,
93
      c_has_sinit             => 1,
94
      c_mem_init_file         => "idmem.mif",
95
      c_yydisable_warnings    => 1,
96
      c_default_data          => "0",
97
      c_ymake_bmm             => 0,
98
      c_addr_width            => 12);
99
-- synopsys translate_on
100
begin
101
-- synopsys translate_off
102
  U0 : wrapped_idmem
103
    port map (
104
      addr  => addr,
105
      clk   => clk,
106
      din   => din,
107
      dout  => dout,
108
      sinit => sinit,
109
      we    => we);
110
-- synopsys translate_on
111
 
112
end idmem_a;
113
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.