OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [imem.vhd] - Blame information for rev 148

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jlechner
-- File: imem.vhd
2
-- Author: Jakob Lechner, Urban Stadler, Harald Trinkl, Christian Walter
3
-- Created: 2006-11-29
4
-- Last updated: 2006-11-29
5
 
6
-- Description:
7
-- Entity for accessing instruction memory.
8
-------------------------------------------------------------------------------
9
 
10
library IEEE;
11
use IEEE.STD_LOGIC_1164.all;
12
use IEEE.STD_LOGIC_ARITH.all;
13
 
14
use WORK.RISE_PACK.all;
15
 
16 98 cwalter
 
17 2 jlechner
entity imem is
18
 
19
  port (
20 98 cwalter
    clk   : in std_logic;
21
    reset : in std_logic;
22 2 jlechner
 
23 98 cwalter
    wr_enable : in  std_logic;
24
    addr      : in  MEM_ADDR_T;
25
    data_in   : in  MEM_DATA_T;
26
    data_out  : out MEM_DATA_T);
27
 
28 2 jlechner
end imem;
29
 
30
architecture imem_rtl of imem is
31 98 cwalter
 
32 37 jlechner
  component idmem
33
    port (
34 98 cwalter
      addr  : in  std_logic_vector(11 downto 0);
35
      clk   : in  std_logic;
36
      din   : in  std_logic_vector(15 downto 0);
37
      dout  : out std_logic_vector(15 downto 0);
38
      sinit : in  std_logic;
39
      we    : in  std_logic);
40 37 jlechner
  end component;
41 24 ustadler
 
42 98 cwalter
 
43 2 jlechner
begin  -- imem_rtl
44 98 cwalter
 
45 37 jlechner
  INSTRUCTION_MEM : idmem
46
    port map (
47 98 cwalter
      addr  => addr(11 downto 0),
48
      clk   => clk,
49
      din   => data_in,
50
      dout  => data_out,
51 37 jlechner
      sinit => reset,
52 98 cwalter
      we    => wr_enable);
53
 
54 37 jlechner
end imem_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.