OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [imem.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jlechner
-- File: imem.vhd
2
-- Author: Jakob Lechner, Urban Stadler, Harald Trinkl, Christian Walter
3
-- Created: 2006-11-29
4
-- Last updated: 2006-11-29
5
 
6
-- Description:
7
-- Entity for accessing instruction memory.
8
-------------------------------------------------------------------------------
9
 
10
library IEEE;
11
use IEEE.STD_LOGIC_1164.all;
12
use IEEE.STD_LOGIC_ARITH.all;
13
 
14
use WORK.RISE_PACK.all;
15
 
16
 
17
entity imem is
18
 
19
  port (
20
    clk            : in std_logic;
21
    reset          : in std_logic;
22
 
23
    addr           : in MEM_ADDR_T;
24
    data_in        : in MEM_DATA_T;
25
    data_out       : out MEM_DATA_T);
26
 
27
end imem;
28
 
29
architecture imem_rtl of imem is
30
 
31
begin  -- imem_rtl
32
 
33
 
34
 
35
end imem_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.