OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [imem.vhd] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jlechner
-- File: imem.vhd
2
-- Author: Jakob Lechner, Urban Stadler, Harald Trinkl, Christian Walter
3
-- Created: 2006-11-29
4
-- Last updated: 2006-11-29
5
 
6
-- Description:
7
-- Entity for accessing instruction memory.
8
-------------------------------------------------------------------------------
9
 
10
library IEEE;
11
use IEEE.STD_LOGIC_1164.all;
12
use IEEE.STD_LOGIC_ARITH.all;
13
 
14
use WORK.RISE_PACK.all;
15
 
16
 
17
entity imem is
18
 
19
  port (
20
    clk            : in std_logic;
21
    reset          : in std_logic;
22 24 ustadler
 
23
         wr_enable               : in std_logic;
24 2 jlechner
    addr           : in MEM_ADDR_T;
25
    data_in        : in MEM_DATA_T;
26
    data_out       : out MEM_DATA_T);
27
 
28
end imem;
29
 
30
architecture imem_rtl of imem is
31
 
32 24 ustadler
component idmem
33
        port (
34
        addr: IN std_logic_VECTOR(11 downto 0);
35
        clk: IN std_logic;
36
        din: IN std_logic_VECTOR(15 downto 0);
37
        dout: OUT std_logic_VECTOR(15 downto 0);
38
        sinit: IN std_logic;
39
        we: IN std_logic);
40
end component;
41
 
42
 
43 2 jlechner
begin  -- imem_rtl
44
 
45 24 ustadler
        INSTRUCTION_MEM : idmem
46
                port map (
47
                        addr => addr(11 downto 0),
48
                        clk => clk,
49
                        din => data_in,
50
                        dout => data_out,
51
                        sinit => reset,
52
                        we => wr_enable);
53 2 jlechner
 
54 24 ustadler
end imem_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.