OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [imem.vhd] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jlechner
-- File: imem.vhd
2
-- Author: Jakob Lechner, Urban Stadler, Harald Trinkl, Christian Walter
3
-- Created: 2006-11-29
4
-- Last updated: 2006-11-29
5
 
6
-- Description:
7
-- Entity for accessing instruction memory.
8
-------------------------------------------------------------------------------
9
 
10
library IEEE;
11
use IEEE.STD_LOGIC_1164.all;
12
use IEEE.STD_LOGIC_ARITH.all;
13
 
14
use WORK.RISE_PACK.all;
15
 
16
 
17
entity imem is
18
 
19
  port (
20
    clk            : in std_logic;
21 37 jlechner
    reset          : in std_logic;
22
    wr_enable      : in std_logic;
23 2 jlechner
    addr           : in MEM_ADDR_T;
24
    data_in        : in MEM_DATA_T;
25
    data_out       : out MEM_DATA_T);
26
 
27
end imem;
28
 
29
architecture imem_rtl of imem is
30 37 jlechner
  component idmem
31
    port (
32
      addr: IN std_logic_VECTOR(11 downto 0);
33
      clk: IN std_logic;
34
      din: IN std_logic_VECTOR(15 downto 0);
35
      dout: OUT std_logic_VECTOR(15 downto 0);
36
      sinit: IN std_logic;
37
      we: IN std_logic);
38
  end component;
39 24 ustadler
 
40 2 jlechner
begin  -- imem_rtl
41 37 jlechner
  INSTRUCTION_MEM : idmem
42
    port map (
43
      addr => addr(11 downto 0),
44
      clk => clk,
45
      din => data_in,
46
      dout => data_out,
47
      sinit => reset,
48
      we => wr_enable);
49
end imem_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.