OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [tb_rise.vhd] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 cwalter
-- File: ex_stage.vhd
2
-- Author: Jakob Lechner, Urban Stadler, Harald Trinkl, Christian Walter
3
-- Created: 2006-11-29
4
-- Last updated: 2006-11-29
5
 
6
-- Description:
7
-- Execute stage
8
-------------------------------------------------------------------------------
9
 
10
library ieee;
11
use ieee.std_logic_1164.all;
12
use ieee.std_logic_unsigned.all;
13
use ieee.numeric_std.all;
14
use work.rise_pack.all;
15
 
16
entity tb_rise_vhd is
17
end tb_rise_vhd;
18
 
19
architecture behavior of tb_rise_vhd is
20
 
21
  component rise
22
    port(
23
      clk   : in  std_logic;
24
      reset : in  std_logic;
25
      rx    : in  std_logic;
26
      tx    : out std_logic
27
      );
28
  end component;
29
 
30
  --Inputs
31
  signal clk   : std_logic := '0';
32
  signal reset : std_logic := '0';
33
  signal rx    : std_logic := '0';
34
 
35
  --Outputs
36
  signal tx : std_logic;
37
 
38
begin
39
 
40
  -- Instantiate the Unit Under Test (UUT)
41
  uut : rise port map(
42
    clk   => clk,
43
    reset => reset,
44
    rx    => rx,
45
    tx    => tx
46
    );
47
 
48
  clk_gen : process
49
  begin
50
    clk <= '1';
51
    wait for 10 ns;
52
    clk <= '0';
53
    wait for 10 ns;
54
  end process;
55
 
56
  tb : process
57
  begin
58
 
59 54 cwalter
    wait for 5 ns;
60 36 cwalter
 
61
    -- Place stimulus here
62
    reset <= '1';
63
 
64
    -- Let the simulation run for 200 ns;
65
    wait for 200 ns;
66
 
67
    wait;
68
  end process;
69
 
70
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.