OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [wb_stage.vhd] - Blame information for rev 76

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jlechner
-- File: wb_stage.vhd
2
-- Author: Jakob Lechner, Urban Stadler, Harald Trinkl, Christian Walter
3
-- Created: 2006-11-29
4
-- Last updated: 2006-11-29
5
 
6
-- Description:
7
-- Instruction decode stage
8
-------------------------------------------------------------------------------
9
 
10
library IEEE;
11
use IEEE.STD_LOGIC_1164.all;
12
use IEEE.STD_LOGIC_ARITH.all;
13
 
14
use WORK.RISE_PACK.all;
15 71 jlechner
use work.RISE_PACK_SPECIFIC.all;
16 2 jlechner
 
17
entity wb_stage is
18
 
19
  port (
20 61 cwalter
    clk   : in std_logic;
21
    reset : in std_logic;
22 2 jlechner
 
23 61 cwalter
    mem_wb_register : in MEM_WB_REGISTER_T;
24 2 jlechner
 
25 61 cwalter
    dreg_addr   : out REGISTER_ADDR_T;
26
    dreg        : out REGISTER_T;
27
    dreg_enable : out std_logic;
28 2 jlechner
 
29 61 cwalter
    lr        : out PC_REGISTER_T;
30
    lr_enable : out std_logic;
31
 
32
    sr        : out SR_REGISTER_T;
33
    sr_enable : out std_logic;
34
 
35
    clear_out : out std_logic;
36
 
37
    clear_reg_lock0 : out std_logic;
38
    lock_reg_addr0  : out REGISTER_ADDR_T;
39
    clear_reg_lock1 : out std_logic;
40
    lock_reg_addr1  : out REGISTER_ADDR_T);
41
 
42
 
43 2 jlechner
end wb_stage;
44
 
45
architecture wb_stage_rtl of wb_stage is
46
 
47
begin  -- wb_stage_rtl
48
 
49 61 cwalter
  clear_out <= '0';  -- clear_out output is unused at the moment.
50 2 jlechner
 
51 61 cwalter
  process (reset, mem_wb_register)
52
  begin
53
    if reset = '0' then
54
      clear_reg_lock0 <= '0';
55 76 cwalter
      lock_reg_addr0  <= (others => 'X');
56 61 cwalter
      clear_reg_lock1 <= '0';
57 76 cwalter
      lock_reg_addr1  <= (others => 'X');
58 61 cwalter
 
59 76 cwalter
      dreg_enable <= '0';
60
      dreg_addr <= (others => 'X');
61
      dreg      <= (others => 'X');
62
      lr_enable   <= '0';
63
      lr        <= (others => 'X');
64
      sr_enable   <= '0';
65
      sr        <= (others => 'X');
66 61 cwalter
    else
67
 
68
      -- write back of register value. --
69
      dreg_addr <= mem_wb_register.dreg_addr;
70
      if mem_wb_register.aluop1(ALUOP1_WB_REG_BIT) = '1' then
71
        dreg            <= mem_wb_register.reg;
72
        dreg_enable     <= '1';
73
        clear_reg_lock0 <= '1';
74
        lock_reg_addr0  <= mem_wb_register.dreg_addr;
75
      elsif mem_wb_register.aluop1(ALUOP1_LD_MEM_BIT) = '1' then
76
        dreg <= mem_wb_register.mem_reg;
77
      else
78
        dreg_enable     <= '0';
79 76 cwalter
        dreg            <= (others => 'X');
80 61 cwalter
        clear_reg_lock0 <= '0';
81 76 cwalter
        lock_reg_addr0  <= (others => 'X');
82 61 cwalter
      end if;
83
 
84
      -- we have only one lock register.
85
      assert mem_wb_register.aluop2(ALUOP2_SR_BIT) = '0' or mem_wb_register.aluop2(ALUOP2_LR_BIT) = '0';
86
 
87
      clear_reg_lock1 <= '0';
88 76 cwalter
      lock_reg_addr1  <= (others => 'X');
89 61 cwalter
      -- write back of LR --
90
      if mem_wb_register.aluop2(ALUOP2_LR_BIT) = '1' then
91
        lr              <= mem_wb_register.lr;
92
        lr_enable       <= '1';
93
        clear_reg_lock1 <= '1';
94
        lock_reg_addr1  <= LR_REGISTER_ADDR;
95
      end if;
96
      -- write back of SR --
97
      if mem_wb_register.aluop2(ALUOP2_SR_BIT) = '1' then
98
        lr              <= mem_wb_register.lr;
99
        lr_enable       <= '1';
100
        clear_reg_lock1 <= '1';
101
        lock_reg_addr1  <= SR_REGISTER_ADDR;
102
      end if;
103
 
104
    end if;
105
  end process;
106 2 jlechner
end wb_stage_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.