OpenCores
URL https://opencores.org/ocsvn/rs_dec_enc/rs_dec_enc/trunk

Subversion Repositories rs_dec_enc

[/] [rs_dec_enc/] [trunk/] [rtl/] [VEC_MUL.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 unicore
---------------------------------------------------------------------
2
----                                                             ----
3
----  Reed Solomon decoder/encoder IP core                       ----
4
----                                                             ----
5
----  Authors: Anatoliy Sergienko, Volodya Lepeha                ----
6
----  Company: Unicore Systems http://unicore.co.ua              ----
7
----                                                             ----
8
----  Downloaded from: http://www.opencores.org                  ----
9
----                                                             ----
10
---------------------------------------------------------------------
11
----                                                             ----
12
---- Copyright (C) 2006-2010 Unicore Systems LTD                 ----
13
---- www.unicore.co.ua                                           ----
14
---- o.uzenkov@unicore.co.ua                                     ----
15
----                                                             ----
16
---- This source file may be used and distributed without        ----
17
---- restriction provided that this copyright statement is not   ----
18
---- removed from the file and that any derivative work contains ----
19
---- the original copyright notice and the associated disclaimer.----
20
----                                                             ----
21
---- THIS SOFTWARE IS PROVIDED "AS IS"                           ----
22
---- AND ANY EXPRESSED OR IMPLIED WARRANTIES,                    ----
23
---- INCLUDING, BUT NOT LIMITED TO, THE IMPLIED                  ----
24
---- WARRANTIES OF MERCHANTABILITY, NONINFRINGEMENT              ----
25
---- AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.        ----
26
---- IN NO EVENT SHALL THE UNICORE SYSTEMS OR ITS                ----
27
---- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,            ----
28
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL            ----
29
---- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT         ----
30
---- OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,               ----
31
---- DATA, OR PROFITS; OR BUSINESS INTERRUPTION)                 ----
32
---- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,              ----
33
---- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT              ----
34
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING                 ----
35
---- IN ANY WAY OUT OF THE USE OF THIS SOFTWARE,                 ----
36
---- EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.          ----
37
----                                                             ----
38
---------------------------------------------------------------------
39
 
40
library IEEE;
41
use IEEE.STD_LOGIC_1164.all;
42
use IEEE.STD_LOGIC_unsigned .all;
43
use IEEE.STD_LOGIC_arith .all;
44
use type1.all;
45
 
46
entity VEC_MUL is
47
         port(
48
                 CLK : in STD_LOGIC;
49
                 RST : in STD_LOGIC;
50
                 m_d : in STD_LOGIC;
51
                 A,B : in kgx8;
52
                 C : out kgx8
53
             );
54
end VEC_MUL;
55
 
56
--}} End of automatically maintained section
57
 
58
architecture VEC_MUL of VEC_MUL is
59
component mul_g8 is
60
         port(
61
                 clk : in STD_LOGIC;
62
                 rst : in STD_LOGIC;
63
                 m_d : in STD_LOGIC;
64
                 a : in STD_LOGIC_VECTOR(7 downto 0);
65
                 b : in STD_LOGIC_VECTOR(7 downto 0);
66
                 res : out STD_LOGIC_VECTOR(7 downto 0)
67
             );
68
end component;
69
begin
70
 
71
 
72
 U_md: for i in 0 to G_range - 1 generate
73
 
74
mul : mul_g8
75
         port map(
76
                 clk => clk, rst => rst,
77
                 m_d => m_d,
78
                 a => a(i),      b => b(i),
79
                 res => c(i)
80
             );
81
          end generate;
82
 
83
         -- enter your statements here --
84
 
85
end VEC_MUL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.