URL
https://opencores.org/ocsvn/rtc/rtc/trunk
[/] [rtc/] [trunk/] [syn/] [bin/] [cons_art_umc18.inc] - Blame information for rev 19
Details |
Compare with Previous |
View Log
Line No. |
Rev |
Author |
Line |
1 |
13 |
lampret |
/* Constraints */
|
2 |
|
|
CLK_UNCERTAINTY = 0.1 /* 100 ps */
|
3 |
|
|
DFFHQX2_CKQ = 0.2 /* Clk to Q in technology time units */
|
4 |
|
|
DFFHQX2_SETUP = 0.1 /* Setup time in technology time units */
|
5 |
|
|
|
6 |
|
|
/* Clocks constraints */
|
7 |
|
|
create_clock CLK -period CLK_PERIOD
|
8 |
|
|
create_clock ECLK -period CLK_PERIOD
|
9 |
|
|
set_clock_skew all_clocks() -uncertainty CLK_UNCERTAINTY
|
10 |
|
|
set_dont_touch_network all_clocks()
|
11 |
|
|
|
12 |
|
|
/* Reset constraints */
|
13 |
|
|
set_driving_cell -none RST
|
14 |
|
|
set_drive 0 RST
|
15 |
|
|
set_dont_touch_network RST
|
16 |
|
|
|
17 |
|
|
/* All inputs except reset and clock */
|
18 |
|
|
all_inputs_wo_rst_clk = all_inputs() - CLK - RST
|
19 |
|
|
|
20 |
|
|
/* Set output delays and load for output signals
|
21 |
|
|
*
|
22 |
|
|
* All outputs are assumed to go directly into
|
23 |
|
|
* external flip-flops for the purpose of this
|
24 |
|
|
* synthesis
|
25 |
|
|
*/
|
26 |
|
|
set_output_delay DFFHQX2_SETUP -clock CLK all_outputs()
|
27 |
|
|
set_load load_of(typical/DFFHQX2/D) * 1 all_outputs()
|
28 |
|
|
|
29 |
|
|
/* Input delay and driving cell of all inputs
|
30 |
|
|
*
|
31 |
|
|
* All these signals are assumed to come directly from
|
32 |
|
|
* flip-flops for the purpose of this synthesis
|
33 |
|
|
*
|
34 |
|
|
*/
|
35 |
|
|
set_input_delay DFFHQX2_CKQ -clock CLK all_inputs_wo_rst_clk
|
36 |
|
|
set_driving_cell -cell DFFHQX2 -pin Q all_inputs_wo_rst_clk
|
37 |
|
|
|
38 |
|
|
/* Set design fanout */
|
39 |
|
|
/*
|
40 |
|
|
set_max_fanout 10 TOPLEVEL
|
41 |
|
|
*/
|
42 |
|
|
|
43 |
|
|
/* Set area constraint */
|
44 |
|
|
set_max_area MAX_AREA
|
45 |
|
|
|
46 |
|
|
/* Optimize all near-critical paths to give extra slack for layout */
|
47 |
|
|
c_range = CLK_PERIOD * 0.05
|
48 |
|
|
group_path -critical_range c_range -name CLK -to CLK
|
49 |
|
|
|
50 |
|
|
/* Operating conditions */
|
51 |
|
|
set_operating_conditions typical
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.