OpenCores
URL https://opencores.org/ocsvn/rtf65002/rtf65002/trunk

Subversion Repositories rtf65002

[/] [rtf65002/] [trunk/] [rtl/] [verilog/] [ifetch.v] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2013  Robert Finch, Stratford
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@opencores.org
6
//       ||
7
//
8
// This source file is free software: you can redistribute it and/or modify 
9
// it under the terms of the GNU Lesser General Public License as published 
10
// by the Free Software Foundation, either version 3 of the License, or     
11
// (at your option) any later version.                                      
12
//                                                                          
13
// This source file is distributed in the hope that it will be useful,      
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
16
// GNU General Public License for more details.                             
17
//                                                                          
18
// You should have received a copy of the GNU General Public License        
19
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
20
//                                                                          
21
// ============================================================================
22
//
23
IFETCH:
24
        begin
25
                if (nmi_edge & !imiss & gie) begin      // imiss indicates cache controller is active and this state is in a waiting loop
26
                        nmi_edge <= 1'b0;
27
                        wai <= 1'b0;
28
                        bf <= 1'b0;
29
                        if (em & !nmoi) begin
30
                                radr <= {spage[31:8],sp[7:2]};
31
                                radr2LSB <= sp[1:0];
32
                                wadr <= {spage[31:8],sp[7:2]};
33
                                wadr2LSB <= sp[1:0];
34
                                wdat <= {4{pc[31:24]}};
35
                                cyc_o <= 1'b1;
36
                                stb_o <= 1'b1;
37
                                we_o <= 1'b1;
38
                                case(sp[1:0])
39
                                2'd0:   sel_o <= 4'b0001;
40
                                2'd1:   sel_o <= 4'b0010;
41
                                2'd2:   sel_o <= 4'b0100;
42
                                2'd3:   sel_o <= 4'b1000;
43
                                endcase
44
                                adr_o <= {spage[31:8],sp[7:2],2'b00};
45
                                dat_o <= {4{pc[31:24]}};
46
                                sp <= sp_dec;
47
                                vect <= `BYTE_NMI_VECT;
48
                                state <= BYTE_IRQ1;
49
                        end
50
                        else begin
51
                                radr <= isp_dec;
52
                                wadr <= isp_dec;
53
                                wdat <= pc;
54
                                cyc_o <= 1'b1;
55
                                stb_o <= 1'b1;
56
                                we_o <= 1'b1;
57
                                sel_o <= 4'hF;
58
                                adr_o <= {isp_dec,2'b00};
59
                                dat_o <= pc;
60
                                vect <= `NMI_VECT;
61
                                state <= IRQ1;
62
                        end
63
                end
64
                else if (irq_i && !imiss & gie) begin
65
                        if (im) begin
66
                                wai <= 1'b0;
67
                                if (unCachedInsn) begin
68
                                        if (bhit) begin
69
                                                ir <= ibuf;
70
                                                state <= em ? BYTE_DECODE : DECODE;
71
                                        end
72
                                        else
73
                                                imiss <= `TRUE;
74
                                end
75
                                else begin
76
                                        if (ihit) begin
77
                                                ir <= insn;
78
                                                state <= em ? BYTE_DECODE : DECODE;
79
                                        end
80
                                        else
81
                                                imiss <= `TRUE;
82
                                end
83
                        end
84
                        else begin
85
                                bf <= 1'b0;
86
                                wai <= 1'b0;
87
                                if (em & !nmoi) begin
88
                                        radr <= {spage[31:8],sp[7:2]};
89
                                        radr2LSB <= sp[1:0];
90
                                        wadr <= {spage[31:8],sp[7:2]};
91
                                        wadr2LSB <= sp[1:0];
92
                                        wdat <= {4{pc[31:24]}};
93
                                        cyc_o <= 1'b1;
94
                                        stb_o <= 1'b1;
95
                                        we_o <= 1'b1;
96
                                        case(sp[1:0])
97
                                        2'd0:   sel_o <= 4'b0001;
98
                                        2'd1:   sel_o <= 4'b0010;
99
                                        2'd2:   sel_o <= 4'b0100;
100
                                        2'd3:   sel_o <= 4'b1000;
101
                                        endcase
102
                                        adr_o <= {spage[31:8],sp[7:2],2'b00};
103
                                        dat_o <= {4{pc[31:24]}};
104
                                        sp <= sp_dec;
105
                                        vect <= `BYTE_IRQ_VECT;
106
                                        state <= BYTE_IRQ1;
107
                                end
108
                                else begin
109
                                        radr <= isp_dec;
110
                                        wadr <= isp_dec;
111
                                        wdat <= pc;
112
                                        cyc_o <= 1'b1;
113
                                        stb_o <= 1'b1;
114
                                        we_o <= 1'b1;
115
                                        sel_o <= 4'hF;
116
                                        adr_o <= {isp_dec,2'b00};
117
                                        dat_o <= pc;
118
                                        vect <= {vbr[31:9],irq_vect,2'b00};
119
                                        state <= IRQ1;
120
                                end
121
                        end
122
                end
123
                else if (!wai) begin
124
                        if (unCachedInsn) begin
125
                                if (bhit) begin
126
                                        ir <= ibuf;
127
                                        state <= em ? BYTE_DECODE : DECODE;
128
                                end
129
                                else
130
                                        imiss <= `TRUE;
131
                        end
132
                        else begin
133
                                if (ihit) begin
134
                                        ir <= insn;
135
                                        state <= em ? BYTE_DECODE : DECODE;
136
                                end
137
                                else
138
                                        imiss <= `TRUE;
139
                        end
140
                end
141
                if (first_ifetch) begin
142
                        first_ifetch <= `FALSE;
143
                        if (em) begin
144
                                case(ir[7:0])
145
                                `TAY,`TXY,`DEY,`INY:    begin y[7:0] <= res8; nf <= resn8; zf <= resz8; end
146
                                `TAX,`TYX,`TSX,`DEX,`INX:       begin x[7:0] <= res8; nf <= resn8; zf <= resz8; end
147
                                `TSA,`TYA,`TXA,`INA,`DEA:       begin acc[7:0] <= res8; nf <= resn8; zf <= resz8; end
148
                                `TAS,`TXS: begin sp <= res8[7:0]; end
149
                                `ADC_IMM:
150
                                        begin
151
                                                acc[7:0] <= df ? bcaio : res8;
152
                                                cf <= df ? bcaico : resc8;
153
//                                              vf <= resv8;
154
                                                vf <= (res8[7] ^ b8[7]) & (1'b1 ^ acc[7] ^ b8[7]);
155
                                                nf <= df ? bcaio[7] : resn8;
156
                                                zf <= df ? bcaio==8'h00 : resz8;
157
                                        end
158
                                `ADC_ZP,`ADC_ZPX,`ADC_IX,`ADC_IY,`ADC_ABS,`ADC_ABSX,`ADC_ABSY,`ADC_I:
159
                                        begin
160
                                                acc[7:0] <= df ? bcao : res8;
161
                                                cf <= df ? bcaco : resc8;
162
                                                vf <= (res8[7] ^ b8[7]) & (1'b1 ^ acc[7] ^ b8[7]);
163
                                                nf <= df ? bcao[7] : resn8;
164
                                                zf <= df ? bcao==8'h00 : resz8;
165
                                        end
166
                                `SBC_IMM:
167
                                        begin
168
                                                acc[7:0] <= df ? bcsio : res8;
169
                                                cf <= ~(df ? bcsico : resc8);
170
                                                vf <= (1'b1 ^ res8[7] ^ b8[7]) & (acc[7] ^ b8[7]);
171
                                                nf <= df ? bcsio[7] : resn8;
172
                                                zf <= df ? bcsio==8'h00 : resz8;
173
                                        end
174
                                `SBC_ZP,`SBC_ZPX,`SBC_IX,`SBC_IY,`SBC_ABS,`SBC_ABSX,`SBC_ABSY,`SBC_I:
175
                                        begin
176
                                                acc[7:0] <= df ? bcso : res8;
177
                                                vf <= (1'b1 ^ res8[7] ^ b8[7]) & (acc[7] ^ b8[7]);
178
                                                cf <= ~(df ? bcsco : resc8);
179
                                                nf <= df ? bcso[7] : resn8;
180
                                                zf <= df ? bcso==8'h00 : resz8;
181
                                        end
182
                                `CMP_IMM,`CMP_ZP,`CMP_ZPX,`CMP_IX,`CMP_IY,`CMP_ABS,`CMP_ABSX,`CMP_ABSY,`CMP_I,
183
                                `CPX_IMM,`CPX_ZP,`CPX_ABS,
184
                                `CPY_IMM,`CPY_ZP,`CPY_ABS:
185
                                                begin cf <= ~resc8; nf <= resn8; zf <= resz8; end
186
                                `BIT_IMM,`BIT_ZP,`BIT_ZPX,`BIT_ABS,`BIT_ABSX:
187
                                                begin nf <= b8[7]; vf <= b8[6]; zf <= resz8; end
188
                                `TRB_ZP,`TRB_ABS,`TSB_ZP,`TSB_ABS:
189
                                        begin zf <= resz8; end
190
                                `LDA_IMM,`LDA_ZP,`LDA_ZPX,`LDA_IX,`LDA_IY,`LDA_ABS,`LDA_ABSX,`LDA_ABSY,`LDA_I,
191
                                `AND_IMM,`AND_ZP,`AND_ZPX,`AND_IX,`AND_IY,`AND_ABS,`AND_ABSX,`AND_ABSY,`AND_I,
192
                                `ORA_IMM,`ORA_ZP,`ORA_ZPX,`ORA_IX,`ORA_IY,`ORA_ABS,`ORA_ABSX,`ORA_ABSY,`ORA_I,
193
                                `EOR_IMM,`EOR_ZP,`EOR_ZPX,`EOR_IX,`EOR_IY,`EOR_ABS,`EOR_ABSX,`EOR_ABSY,`EOR_I:
194
                                        begin acc[7:0] <= res8; nf <= resn8; zf <= resz8; end
195
                                `ASL_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
196
                                `ROL_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
197
                                `LSR_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
198
                                `ROR_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
199
                                `ASL_ZP,`ASL_ZPX,`ASL_ABS,`ASL_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
200
                                `ROL_ZP,`ROL_ZPX,`ROL_ABS,`ROL_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
201
                                `LSR_ZP,`LSR_ZPX,`LSR_ABS,`LSR_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
202
                                `ROR_ZP,`ROR_ZPX,`ROR_ABS,`ROR_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
203
                                `INC_ZP,`INC_ZPX,`INC_ABS,`INC_ABSX: begin nf <= resn8; zf <= resz8; end
204
                                `DEC_ZP,`DEC_ZPX,`DEC_ABS,`DEC_ABSX: begin nf <= resn8; zf <= resz8; end
205
                                `PLA:   begin acc[7:0] <= res8; zf <= resz8; nf <= resn8; end
206
                                `PLX:   begin x[7:0] <= res8; zf <= resz8; nf <= resn8; end
207
                                `PLY:   begin y[7:0] <= res8; zf <= resz8; nf <= resn8; end
208
                                `LDX_IMM,`LDX_ZP,`LDX_ZPY,`LDX_ABS,`LDX_ABSY:   begin x[7:0] <= res8; nf <= resn8; zf <= resz8; end
209
                                `LDY_IMM,`LDY_ZP,`LDY_ZPX,`LDY_ABS,`LDY_ABSX:   begin y[7:0] <= res8; nf <= resn8; zf <= resz8; end
210
                                endcase
211
                        end
212
                        else begin
213
                                regfile[Rt] <= res;
214
                                case(Rt)
215
                                4'h1:   acc <= res;
216
                                4'h2:   x <= res;
217
                                4'h3:   y <= res;
218
                                default:        ;
219
                                endcase
220
                                case(ir[7:0])
221
                                `TAY,`TXY,`DEY,`INY:    begin y <= res; nf <= resn32; zf <= resz32; end
222
                                `TAX,`TYX,`TSX,`DEX,`INX:       begin x <= res; nf <= resn32; zf <= resz32; end
223
                                `TAS,`TXS:      begin isp <= res; gie <= 1'b1; end
224
                                `TSA,`TYA,`TXA,`INA,`DEA:       begin acc <= res; nf <= resn32; zf <= resz32; end
225
                                `TRS:
226
                                        begin
227
                                                case(ir[15:12])
228
                                                4'h0:   begin
229
                                                                $display("res=%h",res);
230
                                                                icacheOn <= res[0];
231
                                                                dcacheOn <= res[1];
232
                                                                write_allocate <= res[2];
233
                                                                end
234
                                                4'h1:   dp <= res;
235
                                                4'h5:   lfsr <= res;
236
                                                4'h6:   dp8 <= res;
237
                                                4'h7:   abs8 <= res;
238
                                                4'h8:   begin vbr <= {res[31:9],9'h000}; nmoi <= res[0]; end
239
                                                4'hE:   begin sp <= res[7:0]; spage[31:8] <= res[31:8]; end
240
                                                4'hF:   begin isp <= res; gie <= 1'b1; end
241
                                                endcase
242
                                        end
243
                                `RR:
244
                                        case(ir[23:20])
245
                                        `ADD_RR:        begin vf <= resv32; cf <= resc32; nf <= resn32; zf <= resz32; end
246
                                        `SUB_RR:
247
                                                        if (Rt==4'h0)   // CMP doesn't set overflow
248
                                                                begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
249
                                                        else
250
                                                                begin vf <= resv32; cf <= ~resc32; nf <= resn32; zf <= resz32; end
251
                                        `AND_RR:
252
                                                if (Rt==4'h0)   // BIT sets overflow
253
                                                        begin nf <= b[31]; vf <= b[30]; zf <= resz32; end
254
                                                else
255
                                                        begin nf <= resn32; zf <= resz32; end
256
                                        `OR_RR: begin nf <= resn32; zf <= resz32; end
257
                                        `EOR_RR:        begin nf <= resn32; zf <= resz32; end
258
                                        `MUL_RR:        begin nf <= resn32; zf <= resz32; end
259
                                        `MULS_RR:       begin nf <= resn32; zf <= resz32; end
260
                                        `DIV_RR:        begin nf <= resn32; zf <= resz32; end
261
                                        `DIVS_RR:       begin nf <= resn32; zf <= resz32; end
262
                                        `MOD_RR:        begin nf <= resn32; zf <= resz32; end
263
                                        `MODS_RR:       begin nf <= resn32; zf <= resz32; end
264
                                        `ASL_RRR:       begin nf <= resn32; zf <= resz32; end
265
                                        `LSR_RRR:       begin nf <= resn32; zf <= resz32; end
266
                                        endcase
267
                                `LD_RR: begin zf <= resz32; nf <= resn32; end
268
                                `DEC_RR,`INC_RR: begin zf <= resz32; nf <= resn32; end
269
                                `ASL_RR,`ROL_RR,`LSR_RR,`ROR_RR: begin cf <= resc32; nf <= resn32; zf <= resz32; end
270
                                `ADD_IMM8,`ADD_IMM16,`ADD_IMM32,`ADD_ZPX,`ADD_IX,`ADD_IY,`ADD_ABS,`ADD_ABSX,`ADD_RIND:
271
                                        begin vf <= resv32; cf <= resc32; nf <= resn32; zf <= resz32; end
272
                                `SUB_IMM8,`SUB_IMM16,`SUB_IMM32,`SUB_ZPX,`SUB_IX,`SUB_IY,`SUB_ABS,`SUB_ABSX,`SUB_RIND:
273
                                        if (Rt==4'h0)   // CMP doesn't set overflow
274
                                                begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
275
                                        else
276
                                                begin vf <= resv32; cf <= ~resc32; nf <= resn32; zf <= resz32; end
277
                                `AND_IMM8,`AND_IMM16,`AND_IMM32,`AND_ZPX,`AND_IX,`AND_IY,`AND_ABS,`AND_ABSX,`AND_RIND:
278
                                        if (Rt==4'h0)   // BIT sets overflow
279
                                                begin nf <= b[31]; vf <= b[30]; zf <= resz32; end
280
                                        else
281
                                                begin nf <= resn32; zf <= resz32; end
282
                                `ORB_ZPX,`ORB_ABS,`ORB_ABSX,
283
                                `OR_IMM8,`OR_IMM16,`OR_IMM32,`OR_ZPX,`OR_IX,`OR_IY,`OR_ABS,`OR_ABSX,`OR_RIND,
284
                                `EOR_IMM8,`EOR_IMM16,`EOR_IMM32,`EOR_ZPX,`EOR_IX,`EOR_IY,`EOR_ABS,`EOR_ABSX,`EOR_RIND:
285
                                        begin nf <= resn32; zf <= resz32; end
286
                                `ASL_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
287
                                `ROL_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
288
                                `LSR_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
289
                                `ROR_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
290
                                `ASL_ZPX,`ASL_ABS,`ASL_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
291
                                `ROL_ZPX,`ROL_ABS,`ROL_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
292
                                `LSR_ZPX,`LSR_ABS,`LSR_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
293
                                `ROR_ZPX,`ROR_ABS,`ROR_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
294
                                `ASL_IMM8: begin nf <= resn32; zf <= resz32; end
295
                                `LSR_IMM8: begin nf <= resn32; zf <= resz32; end
296
                                `INC_ZPX,`INC_ABS,`INC_ABSX: begin nf <= resn32; zf <= resz32; end
297
                                `DEC_ZPX,`DEC_ABS,`DEC_ABSX: begin nf <= resn32; zf <= resz32; end
298
                                `PLA:   begin acc <= res; zf <= resz32; nf <= resn32; end
299
                                `PLX:   begin x <= res; zf <= resz32; nf <= resn32; end
300
                                `PLY:   begin y <= res; zf <= resz32; nf <= resn32; end
301
                                `LDX_IMM32,`LDX_IMM16,`LDX_IMM8,`LDX_ZPY,`LDX_ABS,`LDX_ABSY:    begin x <= res; nf <= resn32; zf <= resz32; end
302
                                `LDY_IMM32,`LDY_ZPX,`LDY_ABS,`LDY_ABSX: begin y <= res; nf <= resn32; zf <= resz32; end
303
                                `CPX_IMM32,`CPX_ZPX,`CPX_ABS:   begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
304
                                `CPY_IMM32,`CPY_ZPX,`CPY_ABS:   begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
305
                                `CMP_IMM8: begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
306
                                `LDA_IMM32,`LDA_IMM16,`LDA_IMM8:        begin acc <= res; nf <= resn32; zf <= resz32; end
307
                                endcase
308
                        end
309
                end
310
        end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.