OpenCores
URL https://opencores.org/ocsvn/rtf65002/rtf65002/trunk

Subversion Repositories rtf65002

[/] [rtf65002/] [trunk/] [rtl/] [verilog/] [rtf65002d.v] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 robfinch
`timescale 1ns / 1ps
2
// ============================================================================
3
//        __
4
//   \\__/ o\    (C) 2013  Robert Finch, Stratford
5
//    \  __ /    All rights reserved.
6
//     \/_//     robfinch<remove>@opencores.org
7
//       ||
8
//
9
// rtf65002.v
10
//  - 32 bit CPU
11
//
12
// This source file is free software: you can redistribute it and/or modify 
13
// it under the terms of the GNU Lesser General Public License as published 
14
// by the Free Software Foundation, either version 3 of the License, or     
15
// (at your option) any later version.                                      
16
//                                                                          
17
// This source file is distributed in the hope that it will be useful,      
18
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
19
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
20
// GNU General Public License for more details.                             
21
//                                                                          
22
// You should have received a copy of the GNU General Public License        
23
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
24
//                                                                          
25
// 9000 LUT's / 850 ff's / 56 MHz
26
// 15 Block RAMs
27
// ============================================================================
28
//
29 5 robfinch
`define TRUE            1'b1
30
`define FALSE           1'b0
31
 
32
`define RST_VECT        34'h3FFFFFFF8
33
`define NMI_VECT        34'h3FFFFFFF4
34
`define IRQ_VECT        34'h3FFFFFFF0
35
`define BRK_VECT        34'h3FFFFFFEC
36
`define SLP_VECT        34'h3FFFFFFE8
37
`define BYTE_NMI_VECT   34'h00000FFFA
38
`define BYTE_IRQ_VECT   34'h00000FFFE
39
 
40
`define BRK                     8'h00
41
`define RTI                     8'h40
42
`define RTS                     8'h60
43
`define PHP                     8'h08
44
`define CLC                     8'h18
45
`define PLP                     8'h28
46
`define SEC                     8'h38
47
`define PHA                     8'h48
48
`define CLI                     8'h58
49
`define PLA                     8'h68
50
`define SEI                     8'h78
51
`define DEY                     8'h88
52
`define TYA                     8'h98
53
`define TAY                     8'hA8
54
`define CLV                     8'hB8
55
`define INY                     8'hC8
56
`define CLD                     8'hD8
57
`define INX                     8'hE8
58
`define SED                     8'hF8
59
`define ROR_ACC         8'h6A
60
`define TXA                     8'h8A
61
`define TXS                     8'h9A
62
`define TAX                     8'hAA
63
`define TSX                     8'hBA
64
`define DEX                     8'hCA
65
`define NOP                     8'hEA
66
`define TXY                     8'h9B
67
`define TYX                     8'hBB
68
`define TAS                     8'h1B
69
`define TSA                     8'h3B
70
`define TRS                     8'h8B
71
`define TSR                     8'hAB
72
`define STP                     8'hDB
73
`define NAT                     8'hFB
74
`define EMM                     8'hFB
75
`define INA                     8'h1A
76
`define DEA                     8'h3A
77
 
78
`define RR                      8'h02
79 12 robfinch
`define ADD_RR                  4'd0
80
`define SUB_RR                  4'd1
81
`define CMP_RR                  4'd2
82
`define AND_RR                  4'd3
83
`define EOR_RR                  4'd4
84
`define OR_RR                   4'd5
85
`define MUL_RR                  4'd8
86
`define MULS_RR                 4'd9
87
`define DIV_RR                  4'd10
88
`define DIVS_RR                 4'd11
89
`define MOD_RR                  4'd12
90
`define MODS_RR                 4'd13
91
`define LD_RR           8'h7B
92 5 robfinch
 
93
`define ADD_IMM8        8'h65           // 8 bit operand
94
`define ADD_IMM16       8'h79           // 16 bit operand
95
`define ADD_IMM32       8'h69           // 32 bit operand
96
`define ADD_ZPX         8'h75           // there is no ZP mode, use R0 to syntheisze
97
`define ADD_IX          8'h61
98
`define ADD_IY          8'h71
99
`define ADD_ABS         8'h6D
100
`define ADD_ABSX        8'h7D
101
`define ADD_RIND        8'h72
102
 
103
`define SUB_IMM8        8'hE5
104
`define SUB_IMM16       8'hF9
105
`define SUB_IMM32       8'hE9
106
`define SUB_ZPX         8'hF5
107
`define SUB_IX          8'hE1
108
`define SUB_IY          8'hF1
109
`define SUB_ABS         8'hED
110
`define SUB_ABSX        8'hFD
111
`define SUB_RIND        8'hF2
112
 
113
// CMP = SUB r0,....
114
 
115
`define ADC_IMM         8'h69
116
`define ADC_ZP          8'h65
117
`define ADC_ZPX         8'h75
118
`define ADC_IX          8'h61
119
`define ADC_IY          8'h71
120
`define ADC_ABS         8'h6D
121
`define ADC_ABSX        8'h7D
122
`define ADC_ABSY        8'h79
123
`define ADC_I           8'h72
124
 
125
`define SBC_IMM         8'hE9
126
`define SBC_ZP          8'hE5
127
`define SBC_ZPX         8'hF5
128
`define SBC_IX          8'hE1
129
`define SBC_IY          8'hF1
130
`define SBC_ABS         8'hED
131
`define SBC_ABSX        8'hFD
132
`define SBC_ABSY        8'hF9
133
`define SBC_I           8'hF2
134
 
135
`define CMP_IMM32       8'hC9
136
`define CMP_IMM         8'hC9
137
`define CMP_ZP          8'hC5
138
`define CMP_ZPX         8'hD5
139
`define CMP_IX          8'hC1
140
`define CMP_IY          8'hD1
141
`define CMP_ABS         8'hCD
142
`define CMP_ABSX        8'hDD
143
`define CMP_ABSY        8'hD9
144
`define CMP_I           8'hD2
145
 
146
 
147
`define LDA_IMM8        8'hA5
148
`define LDA_IMM16       8'hB9
149
`define LDA_IMM32       8'hA9
150
 
151
`define AND_IMM8        8'h25
152
`define AND_IMM16       8'h39
153
`define AND_IMM32       8'h29
154
`define AND_IMM         8'h29
155
`define AND_ZP          8'h25
156
`define AND_ZPX         8'h35
157
`define AND_IX          8'h21
158
`define AND_IY          8'h31
159
`define AND_ABS         8'h2D
160
`define AND_ABSX        8'h3D
161
`define AND_ABSY        8'h39
162
`define AND_RIND        8'h32
163
`define AND_I           8'h32
164
 
165
`define OR_IMM8         8'h05
166
`define OR_IMM16        8'h19
167
`define OR_IMM32        8'h09
168
`define OR_ZPX          8'h15
169
`define OR_IX           8'h01
170
`define OR_IY           8'h11
171
`define OR_ABS          8'h0D
172
`define OR_ABSX         8'h1D
173
`define OR_RIND         8'h12
174
 
175
`define ORA_IMM         8'h09
176
`define ORA_ZP          8'h05
177
`define ORA_ZPX         8'h15
178
`define ORA_IX          8'h01
179
`define ORA_IY          8'h11
180
`define ORA_ABS         8'h0D
181
`define ORA_ABSX        8'h1D
182
`define ORA_ABSY        8'h19
183
`define ORA_I           8'h12
184
 
185
`define EOR_IMM         8'h49
186
`define EOR_IMM8        8'h45
187
`define EOR_IMM16       8'h59
188
`define EOR_IMM32       8'h49
189
`define EOR_ZP          8'h45
190
`define EOR_ZPX         8'h55
191
`define EOR_IX          8'h41
192
`define EOR_IY          8'h51
193
`define EOR_ABS         8'h4D
194
`define EOR_ABSX        8'h5D
195
`define EOR_ABSY        8'h59
196
`define EOR_RIND        8'h52
197
`define EOR_I           8'h52
198
 
199
// LD is OR rt,r0,....
200
 
201
`define ST_ZPX          8'h95
202
`define ST_IX           8'h81
203
`define ST_IY           8'h91
204
`define ST_ABS          8'h8D
205
`define ST_ABSX         8'h9D
206
`define ST_RIND         8'h92
207
 
208
`define ORB_ZPX         8'hB5
209
`define ORB_IX          8'hA1
210
`define ORB_IY          8'hB1
211
`define ORB_ABS         8'hAD
212
`define ORB_ABSX        8'hBD
213
 
214
`define STB_ZPX         8'h74
215
`define STB_ABS         8'h9C
216
`define STB_ABSX        8'h9E
217
 
218
 
219
//`define LDB_RIND      8'hB2   // Conflict with LDX #imm16
220
 
221
`define LDA_IMM         8'hA9
222
`define LDA_ZP          8'hA5
223
`define LDA_ZPX         8'hB5
224
`define LDA_IX          8'hA1
225
`define LDA_IY          8'hB1
226
`define LDA_ABS         8'hAD
227
`define LDA_ABSX        8'hBD
228
`define LDA_ABSY        8'hB9
229
`define LDA_I           8'hB2
230
 
231
`define STA_ZP          8'h85
232
`define STA_ZPX         8'h95
233
`define STA_IX          8'h81
234
`define STA_IY          8'h91
235
`define STA_ABS         8'h8D
236
`define STA_ABSX        8'h9D
237
`define STA_ABSY        8'h99
238
`define STA_I           8'h92
239
 
240
`define ASL_ACC         8'h0A
241
`define ASL_ZP          8'h06
242
`define ASL_RR          8'h06
243
`define ASL_ZPX         8'h16
244
`define ASL_ABS         8'h0E
245
`define ASL_ABSX        8'h1E
246
 
247
`define ROL_ACC         8'h2A
248
`define ROL_ZP          8'h26
249
`define ROL_RR          8'h26
250
`define ROL_ZPX         8'h36
251
`define ROL_ABS         8'h2E
252
`define ROL_ABSX        8'h3E
253
 
254
`define LSR_ACC         8'h4A
255
`define LSR_ZP          8'h46
256
`define LSR_RR          8'h46
257
`define LSR_ZPX         8'h56
258
`define LSR_ABS         8'h4E
259
`define LSR_ABSX        8'h5E
260
 
261
`define ROR_RR          8'h66
262
`define ROR_ZP          8'h66
263
`define ROR_ZPX         8'h76
264
`define ROR_ABS         8'h6E
265
`define ROR_ABSX        8'h7E
266
 
267 12 robfinch
`define DEC_RR          8'hC6
268 5 robfinch
`define DEC_ZP          8'hC6
269
`define DEC_ZPX         8'hD6
270
`define DEC_ABS         8'hCE
271
`define DEC_ABSX        8'hDE
272 12 robfinch
`define INC_RR          8'hE6
273 5 robfinch
`define INC_ZP          8'hE6
274
`define INC_ZPX         8'hF6
275
`define INC_ABS         8'hEE
276
`define INC_ABSX        8'hFE
277
 
278
`define BIT_IMM         8'h89
279
`define BIT_ZP          8'h24
280
`define BIT_ZPX         8'h34
281
`define BIT_ABS         8'h2C
282
`define BIT_ABSX        8'h3C
283
 
284
// CMP = SUB r0,...
285
// BIT = AND r0,...
286
`define BPL                     8'h10
287
`define BVC                     8'h50
288
`define BCC                     8'h90
289
`define BNE                     8'hD0
290
`define BMI                     8'h30
291
`define BVS                     8'h70
292
`define BCS                     8'hB0
293
`define BEQ                     8'hF0
294
`define BRL                     8'h82
295
 
296
`define JML                     8'h5C
297
`define JMP                     8'h4C
298
`define JMP_IND         8'h6C
299
`define JMP_INDX        8'h7C
300
`define JMP_RIND        8'hD2
301
`define JSR                     8'h20
302
`define JSL                     8'h22
303
`define JSR_INDX        8'hFC
304
`define JSR_RIND        8'hC2
305
`define RTS                     8'h60
306
`define RTL                     8'h6B
307
`define BSR                     8'h62
308
`define NOP                     8'hEA
309
 
310
`define BRK                     8'h00
311
`define PLX                     8'hFA
312
`define PLY                     8'h7A
313
`define PHX                     8'hDA
314
`define PHY                     8'h5A
315
`define BRA                     8'h80
316
`define WAI                     8'hCB
317
`define PUSH            8'h0B
318
`define POP                     8'h2B
319
 
320
`define LDX_IMM         8'hA2
321
`define LDX_ZP          8'hA6
322
`define LDX_ZPX         8'hB6
323
`define LDX_ZPY         8'hB6
324
`define LDX_ABS         8'hAE
325
`define LDX_ABSY        8'hBE
326
 
327
`define LDX_IMM32       8'hA2
328
`define LDX_IMM16       8'hB2
329
`define LDX_IMM8        8'hA6
330
 
331
`define LDY_IMM         8'hA0
332
`define LDY_ZP          8'hA4
333
`define LDY_ZPX         8'hB4
334
`define LDY_IMM32       8'hA0
335
`define LDY_ABS         8'hAC
336
`define LDY_ABSX        8'hBC
337
 
338
`define STX_ZP          8'h86
339
`define STX_ZPX         8'h96
340
`define STX_ZPY         8'h96
341
`define STX_ABS         8'h8E
342
 
343
`define STY_ZP          8'h84
344
`define STY_ZPX         8'h94
345
`define STY_ABS         8'h8C
346
 
347
`define STZ_ZP          8'h64
348
`define STZ_ZPX         8'h74
349
`define STZ_ABS         8'h9C
350
`define STZ_ABSX        8'h9E
351
 
352
`define CPX_IMM         8'hE0
353
`define CPX_IMM32       8'hE0
354
`define CPX_ZP          8'hE4
355
`define CPX_ZPX         8'hE4
356
`define CPX_ABS         8'hEC
357
`define CPY_IMM         8'hC0
358
`define CPY_IMM32       8'hC0
359
`define CPY_ZP          8'hC4
360
`define CPY_ZPX         8'hC4
361
`define CPY_ABS         8'hCC
362
 
363
`define TRB_ZP          8'h14
364
`define TRB_ZPX         8'h14
365
`define TRB_ABS         8'h1C
366
`define TSB_ZP          8'h04
367
`define TSB_ZPX         8'h04
368
`define TSB_ABS         8'h0C
369
 
370 10 robfinch
`define BAZ                     8'hC1
371
`define BXZ                     8'hD1
372
`define BEQ_RR          8'hE2
373
 
374 5 robfinch
module icachemem(wclk, wr, adr, dat, rclk, pc, insn);
375
input wclk;
376
input wr;
377
input [33:0] adr;
378
input [31:0] dat;
379
input rclk;
380
input [31:0] pc;
381
output reg [55:0] insn;
382
 
383
wire [63:0] insn0;
384
wire [63:0] insn1;
385
wire [31:0] pcp8 = pc + 32'd8;
386
reg [31:0] rpc;
387
 
388
always @(posedge rclk)
389
        rpc <= pc;
390
 
391
// memL and memH combined allow a 64 bit read
392 10 robfinch
syncRam2kx32_1rw1r ramL0
393 5 robfinch
(
394
        .wrst(1'b0),
395
        .wclk(wclk),
396
        .wce(~adr[2]),
397
        .we(wr),
398
        .wsel(4'hF),
399 10 robfinch
        .wadr(adr[13:3]),
400 5 robfinch
        .i(dat),
401
        .wo(),
402
        .rrst(1'b0),
403
        .rclk(rclk),
404
        .rce(1'b1),
405 10 robfinch
        .radr(pc[13:3]),
406 5 robfinch
        .o(insn0[31:0])
407
);
408
 
409 10 robfinch
syncRam2kx32_1rw1r ramH0
410 5 robfinch
(
411
        .wrst(1'b0),
412
        .wclk(wclk),
413
        .wce(adr[2]),
414
        .we(wr),
415
        .wsel(4'hF),
416 10 robfinch
        .wadr(adr[13:3]),
417 5 robfinch
        .i(dat),
418
        .wo(),
419
        .rrst(1'b0),
420
        .rclk(rclk),
421
        .rce(1'b1),
422 10 robfinch
        .radr(pc[13:3]),
423 5 robfinch
        .o(insn0[63:32])
424
);
425
 
426 10 robfinch
syncRam2kx32_1rw1r ramL1
427 5 robfinch
(
428
        .wrst(1'b0),
429
        .wclk(wclk),
430
        .wce(~adr[2]),
431
        .we(wr),
432
        .wsel(4'hF),
433 10 robfinch
        .wadr(adr[13:3]),
434 5 robfinch
        .i(dat),
435
        .wo(),
436
        .rrst(1'b0),
437
        .rclk(rclk),
438
        .rce(1'b1),
439 10 robfinch
        .radr(pcp8[13:3]),
440 5 robfinch
        .o(insn1[31:0])
441
);
442
 
443 10 robfinch
syncRam2kx32_1rw1r ramH1
444 5 robfinch
(
445
        .wrst(1'b0),
446
        .wclk(wclk),
447
        .wce(adr[2]),
448
        .we(wr),
449
        .wsel(4'hF),
450 10 robfinch
        .wadr(adr[13:3]),
451 5 robfinch
        .i(dat),
452
        .wo(),
453
        .rrst(1'b0),
454
        .rclk(rclk),
455
        .rce(1'b1),
456 10 robfinch
        .radr(pcp8[13:3]),
457 5 robfinch
        .o(insn1[63:32])
458
);
459
 
460
always @(rpc or insn0 or insn1)
461
case(rpc[2:0])
462
3'd0:   insn <= insn0[55:0];
463
3'd1:   insn <= insn0[63:8];
464
3'd2:   insn <= {insn1[7:0],insn0[63:16]};
465
3'd3:   insn <= {insn1[15:0],insn0[63:24]};
466
3'd4:   insn <= {insn1[23:0],insn0[63:32]};
467
3'd5:   insn <= {insn1[31:0],insn0[63:40]};
468
3'd6:   insn <= {insn1[39:0],insn0[63:48]};
469
3'd7:   insn <= {insn1[47:0],insn0[63:56]};
470
endcase
471
endmodule
472
 
473
module tagmem(wclk, wr, adr, rclk, pc, hit0, hit1);
474
input wclk;
475
input wr;
476
input [33:0] adr;
477
input rclk;
478
input [31:0] pc;
479
output hit0;
480
output hit1;
481
 
482
wire [31:0] pcp8 = pc + 32'd8;
483
wire [31:0] tag0;
484
wire [31:0] tag1;
485
reg [31:0] rpc;
486
reg [31:0] rpcp8;
487
 
488
always @(posedge rclk)
489
        rpc <= pc;
490
always @(posedge rclk)
491
        rpcp8 <= pcp8;
492
 
493 10 robfinch
syncRam1kx32_1rw1r ram0 (
494 5 robfinch
        .wrst(1'b0),
495
        .wclk(wclk),
496
        .wce(adr[3:2]==2'b11),
497
        .we(wr),
498 10 robfinch
        .wsel(4'hF),
499
        .wadr(adr[13:4]),
500 5 robfinch
        .i(adr[31:0]),
501
        .wo(),
502
 
503 10 robfinch
        .rrst(1'b0),
504
        .rclk(rclk),
505
        .rce(1'b1),
506
        .radr(pc[13:4]),
507
        .o(tag0)
508
);
509 5 robfinch
 
510 10 robfinch
syncRam1kx32_1rw1r ram1 (
511
        .wrst(1'b0),
512
        .wclk(wclk),
513
        .wce(adr[3:2]==2'b11),
514
        .we(wr),
515
        .wsel(4'hF),
516
        .wadr(adr[13:4]),
517
        .i(adr[31:0]),
518
        .wo(),
519
 
520
        .rrst(1'b0),
521
        .rclk(rclk),
522
        .rce(1'b1),
523
        .radr(pcp8[13:4]),
524
        .o(tag1)
525 5 robfinch
);
526
 
527 10 robfinch
assign hit0 = tag0[31:14]==rpc[31:14] && tag0[0];
528
assign hit1 = tag1[31:14]==rpcp8[31:14] && tag1[0];
529 5 robfinch
 
530
endmodule
531
 
532
module dcachemem(wclk, wr, sel, wadr, wdat, rclk, radr, rdat);
533
input wclk;
534
input wr;
535
input [3:0] sel;
536
input [31:0] wadr;
537
input [31:0] wdat;
538
input rclk;
539
input [31:0] radr;
540
output [31:0] rdat;
541
 
542
syncRam2kx32_1rw1r ram0 (
543
        .wrst(1'b0),
544
        .wclk(wclk),
545
        .wce(1'b1),
546
        .we(wr),
547
        .wsel(sel),
548
        .wadr(wadr[10:0]),
549
        .i(wdat),
550
        .wo(),
551
        .rrst(1'b0),
552
        .rclk(rclk),
553
        .rce(1'b1),
554
        .radr(radr[10:0]),
555
        .o(rdat)
556
);
557
 
558
endmodule
559
 
560
module dtagmem(wclk, wr, wadr, rclk, radr, hit);
561
input wclk;
562
input wr;
563
input [31:0] wadr;
564
input rclk;
565
input [31:0] radr;
566
output hit;
567
 
568
reg [31:0] rradr;
569
wire [31:0] tag;
570
 
571
syncRam512x32_1rw1r u1
572
        (
573
                .wrst(1'b0),
574
                .wclk(wclk),
575
                .wce(wadr[1:0]==2'b11),
576
                .we(wr),
577
                .wadr(wadr[10:2]),
578
                .i(wadr),
579
                .wo(),
580
                .rrst(1'b0),
581
                .rclk(rclk),
582
                .rce(1'b1),
583
                .radr(radr[10:2]),
584
                .o(tag)
585
        );
586
 
587
 
588
always @(rclk)
589
        rradr <= radr;
590
 
591
assign hit = tag[31:11]==rradr[31:11];
592
 
593
endmodule
594
 
595
module overflow(op, a, b, s, v);
596
 
597
input op;       // 0=add,1=sub
598
input a;
599
input b;
600
input s;        // sum
601
output v;
602
 
603
// Overflow:
604
// Add: the signs of the inputs are the same, and the sign of the
605
// sum is different
606
// Sub: the signs of the inputs are different, and the sign of
607
// the sum is the same as B
608
assign v = (op ^ s ^ b) & (~op ^ a ^ b);
609
 
610 12 robfinch
endmodule
611 5 robfinch
 
612 12 robfinch
 
613 5 robfinch
module rtf65002d(rst_i, clk_i, nmi_i, irq_i, bte_o, cti_o, bl_o, lock_o, cyc_o, stb_o, ack_i, we_o, sel_o, adr_o, dat_i, dat_o);
614
parameter IDLE = 3'd0;
615
parameter LOAD_DCACHE = 3'd1;
616
parameter LOAD_ICACHE = 3'd2;
617
parameter LOAD_IBUF1 = 3'd3;
618
parameter LOAD_IBUF2 = 3'd4;
619
parameter LOAD_IBUF3 = 3'd5;
620 10 robfinch
parameter RESET1 = 7'd0;
621 5 robfinch
parameter IFETCH = 7'd1;
622
parameter JMP_IND1 = 7'd2;
623
parameter JMP_IND2 = 7'd3;
624
parameter DECODE = 7'd4;
625
parameter STORE1 = 7'd5;
626
parameter STORE2 = 7'd6;
627
parameter LOAD1 = 7'd7;
628
parameter LOAD2 = 7'd8;
629
parameter IRQ1 = 7'd9;
630
parameter IRQ2 = 7'd10;
631
parameter IRQ3 = 7'd11;
632
parameter CALC = 7'd12;
633
parameter JSR1 = 7'd13;
634
parameter JSR_INDX1 = 7'd14;
635
parameter JSR161 = 7'd15;
636
parameter RTS1 = 7'd16;
637
parameter RTS2 = 7'd17;
638
parameter IX1 = 7'd18;
639
parameter IX2 = 7'd19;
640
parameter IX3 = 7'd20;
641
parameter IX4 = 7'd21;
642
parameter IY1 = 7'd22;
643
parameter IY2 = 7'd23;
644
parameter IY3 = 7'd24;
645
parameter PHP1 = 7'd27;
646
parameter PLP1 = 7'd28;
647
parameter PLP2 = 7'd29;
648
parameter PLA1 = 7'd30;
649
parameter PLA2 = 7'd31;
650
parameter BSR1 = 7'd32;
651
parameter BYTE_IX1 = 7'd33;
652
parameter BYTE_IX2 = 7'd34;
653
parameter BYTE_IX3 = 7'd35;
654
parameter BYTE_IX4 = 7'd36;
655
parameter BYTE_IX5 = 7'd37;
656
parameter BYTE_IY1 = 7'd38;
657
parameter BYTE_IY2 = 7'd39;
658
parameter BYTE_IY3 = 7'd40;
659
parameter BYTE_IY4 = 7'd41;
660
parameter BYTE_IY5 = 7'd42;
661
parameter RTS3 = 7'd43;
662
parameter RTS4 = 7'd44;
663
parameter RTS5 = 7'd45;
664
parameter BYTE_JSR1 = 7'd46;
665
parameter BYTE_JSR2 = 7'd47;
666
parameter BYTE_JSR3 = 7'd48;
667
parameter BYTE_IRQ1 = 7'd49;
668
parameter BYTE_IRQ2 = 7'd50;
669
parameter BYTE_IRQ3 = 7'd51;
670
parameter BYTE_IRQ4 = 7'd52;
671
parameter BYTE_IRQ5 = 7'd53;
672
parameter BYTE_IRQ6 = 7'd54;
673
parameter BYTE_IRQ7 = 7'd55;
674
parameter BYTE_IRQ8 = 7'd56;
675
parameter BYTE_IRQ9 = 7'd57;
676
parameter BYTE_JMP_IND1 = 7'd58;
677
parameter BYTE_JMP_IND2 = 7'd59;
678
parameter BYTE_JMP_IND3 = 7'd60;
679
parameter BYTE_JMP_IND4 = 7'd61;
680
parameter BYTE_JSR_INDX1 = 7'd62;
681
parameter BYTE_JSR_INDX2 = 7'd63;
682
parameter BYTE_JSR_INDX3 = 7'd64;
683
parameter RTI1 = 7'd65;
684
parameter RTI2 = 7'd66;
685
parameter RTI3 = 7'd67;
686
parameter RTI4 = 7'd68;
687
parameter BYTE_RTS1 = 7'd69;
688
parameter BYTE_RTS2 = 7'd70;
689
parameter BYTE_RTS3 = 7'd71;
690
parameter BYTE_RTS4 = 7'd72;
691
parameter BYTE_RTS5 = 7'd73;
692
parameter BYTE_RTS6 = 7'd74;
693
parameter BYTE_RTS7 = 7'd75;
694
parameter BYTE_RTS8 = 7'd76;
695
parameter BYTE_RTS9 = 7'd77;
696
parameter BYTE_RTI1 = 7'd78;
697
parameter BYTE_RTI2 = 7'd79;
698
parameter BYTE_RTI3 = 7'd80;
699
parameter BYTE_RTI4 = 7'd81;
700
parameter BYTE_RTI5 = 7'd82;
701
parameter BYTE_RTI6 = 7'd83;
702
parameter BYTE_RTI7 = 7'd84;
703
parameter BYTE_RTI8 = 7'd85;
704
parameter BYTE_RTI9 = 7'd86;
705
parameter BYTE_RTI10 = 7'd87;
706
parameter BYTE_JSL1 = 7'd88;
707
parameter BYTE_JSL2 = 7'd89;
708
parameter BYTE_JSL3 = 7'd90;
709
parameter BYTE_JSL4 = 7'd91;
710
parameter BYTE_JSL5 = 7'd92;
711
parameter BYTE_JSL6 = 7'd93;
712
parameter BYTE_JSL7 = 7'd94;
713
parameter BYTE_PLP1 = 7'd95;
714
parameter BYTE_PLP2 = 7'd96;
715
parameter BYTE_PLA1 = 7'd97;
716
parameter BYTE_PLA2 = 7'd98;
717 10 robfinch
parameter WAIT_DHIT = 7'd99;
718
parameter RESET2 = 7'd100;
719 12 robfinch
parameter MULDIV1 = 7'd101;
720
parameter MULDIV2 = 7'd102;
721 5 robfinch
 
722
input rst_i;
723
input clk_i;
724
input nmi_i;
725
input irq_i;
726
output reg [1:0] bte_o;
727
output reg [2:0] cti_o;
728
output reg [5:0] bl_o;
729
output reg lock_o;
730
output reg cyc_o;
731
output reg stb_o;
732
input ack_i;
733
output reg we_o;
734
output reg [3:0] sel_o;
735
output reg [33:0] adr_o;
736
input [31:0] dat_i;
737
output reg [31:0] dat_o;
738
 
739
reg [6:0] state;
740 10 robfinch
reg [6:0] retstate;
741 5 robfinch
reg [2:0] cstate;
742
wire [55:0] insn;
743
reg [55:0] ibuf;
744
reg [31:0] bufadr;
745
 
746
reg cf,nf,zf,vf,bf,im,df,em;
747
reg em1;
748 10 robfinch
reg gie;
749 5 robfinch
reg nmoi;       // native mode on interrupt
750
wire [31:0] sr = {nf,vf,em,24'b0,bf,df,im,zf,cf};
751
wire [7:0] sr8 = {nf,vf,1'b0,bf,df,im,zf,cf};
752
reg nmi1,nmi_edge;
753
reg wai;
754
reg [31:0] acc;
755
reg [31:0] x;
756
reg [31:0] y;
757
reg [7:0] sp;
758
wire [7:0] acc8 = acc[7:0];
759
wire [7:0] x8 = x[7:0];
760
wire [7:0] y8 = y[7:0];
761
reg [31:0] isp;          // interrupt stack pointer
762 12 robfinch
wire [63:0] prod;
763
wire [31:0] q,r;
764
reg [31:0] tick;
765 5 robfinch
wire [7:0] sp_dec = sp - 8'd1;
766
wire [7:0] sp_inc = sp + 8'd1;
767
wire [31:0] isp_dec = isp - 32'd1;
768
wire [31:0] isp_inc = isp + 32'd1;
769
reg [31:0] pc;
770
wire [31:0] pcp1 = pc + 32'd1;
771
wire [31:0] pcp2 = pc + 32'd2;
772
wire [31:0] pcp3 = pc + 32'd3;
773
wire [31:0] pcp4 = pc + 32'd4;
774
wire [31:0] pcp8 = pc + 32'd8;
775
reg [31:0] dp;
776
wire bhit=pc==bufadr;
777
reg [31:0] regfile [15:0];
778
reg [55:0] ir;
779
wire [3:0] Ra = ir[11:8];
780
wire [3:0] Rb = ir[15:12];
781
reg [31:0] rfoa;
782
reg [31:0] rfob;
783
always @(Ra or x or y or acc)
784
case(Ra)
785
4'h0:   rfoa <= 32'd0;
786
4'h1:   rfoa <= acc;
787
4'h2:   rfoa <= x;
788
4'h3:   rfoa <= y;
789
default:        rfoa <= regfile[Ra];
790
endcase
791
always @(Rb or x or y or acc)
792
case(Rb)
793
4'h0:   rfob <= 32'd0;
794
4'h1:   rfob <= acc;
795
4'h2:   rfob <= x;
796
4'h3:   rfob <= y;
797
default:        rfob <= regfile[Rb];
798
endcase
799
reg [3:0] Rt;
800
reg [33:0] ea;
801
reg first_ifetch;
802 12 robfinch
reg [31:0] lfsr;
803
wire lfsr_fb;
804
xnor(lfsr_fb,lfsr[0],lfsr[1],lfsr[21],lfsr[31]);
805 5 robfinch
reg [31:0] a, b;
806
reg [7:0] b8;
807
reg [32:0] res;
808
reg [8:0] res8;
809
wire resv8,resv32;
810
wire resc8 = res8[8];
811
wire resc32 = res[32];
812
wire resz8 = res8[7:0]==8'h00;
813
wire resz32 = res[31:0]==32'd0;
814
wire resn8 = res8[7];
815
wire resn32 = res[31];
816
wire resn = em ? res8[7] : res[31];
817
wire resz = em ? res8[7:0]==8'h00 : res[31:0]==32'd0;
818
wire resc = em ? res8[8] : res[32];
819
wire resv = em ? resv8 : resv32;
820
 
821
reg [31:0] vect;
822
reg [31:0] ia;                   // temporary reg to hold indirect address
823
wire [31:0] iapy8 = ia + y[7:0];
824
reg isInsnCacheLoad;
825
reg isDataCacheLoad;
826 10 robfinch
reg isCacheReset;
827 5 robfinch
wire hit0,hit1;
828
wire dhit;
829 10 robfinch
reg write_allocate;
830 5 robfinch
reg wr;
831
reg [3:0] wrsel;
832
reg [31:0] radr;
833
reg [1:0] radr2LSB;
834
wire [33:0] radr34 = {radr,radr2LSB};
835
wire [33:0] radr34p1 = radr34 + 34'd1;
836
reg [31:0] wadr;
837
reg [1:0] wadr2LSB;
838
reg [31:0] wdat;
839
wire [31:0] rdat;
840
reg imiss;
841
reg dmiss;
842
reg icacheOn,dcacheOn;
843
wire unCachedData = radr[31:28]==4'hD || !dcacheOn;
844
wire unCachedInsn =/* pc[31:28]==4'hF || */!icacheOn;
845
 
846
wire isSub = ir[7:0]==`SUB_ZPX || ir[7:0]==`SUB_IX || ir[7:0]==`SUB_IY ||
847
                         ir[7:0]==`SUB_ABS || ir[7:0]==`SUB_ABSX || ir[7:0]==`SUB_IMM8 || ir[7:0]==`SUB_IMM16 || ir[7:0]==`SUB_IMM32;
848
wire isSub8 = ir[7:0]==`SBC_ZP || ir[7:0]==`SBC_ZPX || ir[7:0]==`SBC_IX || ir[7:0]==`SBC_IY || ir[7:0]==`SBC_I ||
849
                         ir[7:0]==`SBC_ABS || ir[7:0]==`SBC_ABSX || ir[7:0]==`SBC_ABSY || ir[7:0]==`SBC_IMM;
850
wire isCmp = ir[7:0]==`CPX_ZPX || ir[7:0]==`CPX_ABS || ir[7:0]==`CPX_IMM32 ||
851
                         ir[7:0]==`CPY_ZPX || ir[7:0]==`CPY_ABS || ir[7:0]==`CPY_IMM32;
852
wire isRMW32 =
853
                         ir[7:0]==`ASL_ZPX || ir[7:0]==`ROL_ZPX || ir[7:0]==`LSR_ZPX || ir[7:0]==`ROR_ZPX || ir[7:0]==`INC_ZPX || ir[7:0]==`DEC_ZPX ||
854
                         ir[7:0]==`ASL_ABS || ir[7:0]==`ROL_ABS || ir[7:0]==`LSR_ABS || ir[7:0]==`ROR_ABS || ir[7:0]==`INC_ABS || ir[7:0]==`DEC_ABS ||
855
                         ir[7:0]==`ASL_ABSX || ir[7:0]==`ROL_ABSX || ir[7:0]==`LSR_ABSX || ir[7:0]==`ROR_ABSX || ir[7:0]==`INC_ABSX || ir[7:0]==`DEC_ABSX ||
856
                         ir[7:0]==`TRB_ZP || ir[7:0]==`TRB_ZPX || ir[7:0]==`TRB_ABS || ir[7:0]==`TSB_ZP || ir[7:0]==`TSB_ZPX || ir[7:0]==`TSB_ABS;
857
                         ;
858
wire isRMW8 =
859
                         ir[7:0]==`ASL_ZP || ir[7:0]==`ROL_ZP || ir[7:0]==`LSR_ZP || ir[7:0]==`ROR_ZP || ir[7:0]==`INC_ZP || ir[7:0]==`DEC_ZP ||
860
                         ir[7:0]==`ASL_ZPX || ir[7:0]==`ROL_ZPX || ir[7:0]==`LSR_ZPX || ir[7:0]==`ROR_ZPX || ir[7:0]==`INC_ZPX || ir[7:0]==`DEC_ZPX ||
861
                         ir[7:0]==`ASL_ABS || ir[7:0]==`ROL_ABS || ir[7:0]==`LSR_ABS || ir[7:0]==`ROR_ABS || ir[7:0]==`INC_ABS || ir[7:0]==`DEC_ABS ||
862
                         ir[7:0]==`ASL_ABSX || ir[7:0]==`ROL_ABSX || ir[7:0]==`LSR_ABSX || ir[7:0]==`ROR_ABSX || ir[7:0]==`INC_ABSX || ir[7:0]==`DEC_ABSX ||
863
                         ir[7:0]==`TRB_ZP || ir[7:0]==`TRB_ZPX || ir[7:0]==`TRB_ABS || ir[7:0]==`TSB_ZP || ir[7:0]==`TSB_ZPX || ir[7:0]==`TSB_ABS;
864
                         ;
865
wire isRMW = em ? isRMW8 : isRMW32;
866
wire isOrb = ir[7:0]==`ORB_ZPX || ir[7:0]==`ORB_IX || ir[7:0]==`ORB_IY || ir[7:0]==`ORB_ABS || ir[7:0]==`ORB_ABSX;
867
wire isStb = ir[7:0]==`STB_ZPX || ir[7:0]==`STB_ABS || ir[7:0]==`STB_ABSX;
868
 
869 12 robfinch
wire ld_muldiv = state==DECODE && ir[7:0]==`RR;
870
wire md_done;
871
wire clk;
872
 
873
mult_div umd1
874
(
875
        .rst(rst),
876
        .clk(clk),
877
        .ld(ld_muldiv),
878
        .op(ir[23:20]),
879
        .a(rfoa),
880
        .b(rfob),
881
        .p(prod),
882
        .q(q),
883
        .r(r),
884
        .done(md_done)
885
);
886
 
887 5 robfinch
icachemem icm0 (
888 12 robfinch
        .wclk(clk),
889 5 robfinch
        .wr(ack_i & isInsnCacheLoad),
890
        .adr(adr_o),
891
        .dat(dat_i),
892
        .rclk(~clk_i),
893
        .pc(pc),
894
        .insn(insn)
895
);
896
 
897
tagmem tgm0 (
898 12 robfinch
        .wclk(clk),
899 10 robfinch
        .wr((ack_i & isInsnCacheLoad)|isCacheReset),
900
        .adr({adr_o[31:1],!isCacheReset}),
901 5 robfinch
        .rclk(~clk_i),
902
        .pc(pc),
903
        .hit0(hit0),
904
        .hit1(hit1)
905
);
906
 
907
wire ihit = (hit0 & hit1);//(pc[2:0] > 3'd1 ? hit1 : 1'b1));
908
 
909
dcachemem dcm0 (
910 12 robfinch
        .wclk(clk),
911 5 robfinch
        .wr(wr | (ack_i & isDataCacheLoad)),
912
        .sel(wr ? wrsel : sel_o),
913
        .wadr(wr ? wadr : adr_o[33:2]),
914
        .wdat(wr ? wdat : dat_i),
915
        .rclk(~clk_i),
916
        .radr(radr),
917
        .rdat(rdat)
918
);
919
 
920
dtagmem dtm0 (
921 12 robfinch
        .wclk(clk),
922 5 robfinch
        .wr(wr | (ack_i & isDataCacheLoad)),
923
        .wadr(wr ? wadr : adr_o[33:2]),
924
        .rclk(~clk_i),
925
        .radr(radr),
926
        .hit(dhit)
927
);
928
 
929
overflow uovr1 (
930
        .op(isSub),
931
        .a(a[31]),
932
        .b(b[31]),
933
        .s(res[31]),
934
        .v(resv32)
935
);
936
 
937
overflow uovr2 (
938
        .op(isSub8),
939
        .a(acc8[7]),
940
        .b(b8[7]),
941
        .s(res8[7]),
942
        .v(resv8)
943
);
944
 
945
wire [7:0] bcaio;
946
wire [7:0] bcao;
947
wire [7:0] bcsio;
948
wire [7:0] bcso;
949
wire bcaico,bcaco,bcsico,bcsco;
950
 
951
BCDAdd ubcdai1 (.ci(cf),.a(acc8),.b(ir[15:8]),.o(bcaio),.c(bcaico));
952
BCDAdd ubcda2 (.ci(cf),.a(acc8),.b(b8),.o(bcao),.c(bcaco));
953
BCDSub ubcdsi1 (.ci(cf),.a(acc8),.b(ir[15:8]),.o(bcsio),.c(bcsico));
954
BCDSub ubcds2 (.ci(cf),.a(acc8),.b(b8),.o(bcso),.c(bcsco));
955
 
956
reg [7:0] dati;
957
always @(radr2LSB or dat_i)
958
case(radr2LSB)
959
2'd0:   dati <= dat_i[7:0];
960
2'd1:   dati <= dat_i[15:8];
961
2'd2:   dati <= dat_i[23:16];
962
2'd3:   dati <= dat_i[31:24];
963
endcase
964
reg [7:0] rdat8;
965
always @(radr2LSB or rdat)
966
case(radr2LSB)
967
2'd0:   rdat8 <= rdat[7:0];
968
2'd1:   rdat8 <= rdat[15:8];
969
2'd2:   rdat8 <= rdat[23:16];
970
2'd3:   rdat8 <= rdat[31:24];
971
endcase
972
 
973
reg takb;
974
always @(ir or cf or vf or nf or zf)
975
case(ir[7:0])
976
`BEQ:   takb <= zf;
977
`BNE:   takb <= !zf;
978
`BPL:   takb <= !nf;
979
`BMI:   takb <= nf;
980
`BCS:   takb <= cf;
981
`BCC:   takb <= !cf;
982
`BVS:   takb <= vf;
983
`BVC:   takb <= !vf;
984
`BRA:   takb <= 1'b1;
985
`BRL:   takb <= 1'b1;
986 10 robfinch
//`BAZ: takb <= acc8==8'h00;
987
//`BXZ: takb <= x8==8'h00;
988 5 robfinch
default:        takb <= 1'b0;
989
endcase
990
 
991
wire [31:0] zpx_address = dp + ir[15:8] + x8;
992
wire [31:0] zpy_address = dp + ir[15:8] + y8;
993
wire [31:0] zp_address = dp + ir[15:8];
994 10 robfinch
wire [31:0] abs_address = {16'h0,ir[23:8]};
995 5 robfinch
wire [31:0] absx_address = {16'h0,ir[23:8] + {8'h0,x8}};
996
wire [31:0] absy_address = {16'h0,ir[23:8] + {8'h0,y8}};
997
wire [31:0] zpx32xy_address = dp + ir[23:12] + rfoa;
998
wire [31:0] absx32xy_address = ir[47:16] + rfob;
999
wire [31:0] zpx32_address = dp + ir[31:20] + rfob;
1000
wire [31:0] absx32_address = ir[55:24] + rfob;
1001
 
1002
//-----------------------------------------------------------------------------
1003
// Clock control
1004
// - reset or NMI reenables the clock
1005
// - this circuit must be under the clk_i domain
1006
//-----------------------------------------------------------------------------
1007
//
1008
reg cpu_clk_en;
1009
reg clk_en;
1010
BUFGCE u20 (.CE(cpu_clk_en), .I(clk_i), .O(clk) );
1011
 
1012
always @(posedge clk_i)
1013
if (rst_i) begin
1014
        cpu_clk_en <= 1'b1;
1015
        nmi1 <= 1'b0;
1016
end
1017
else begin
1018
        nmi1 <= nmi_i;
1019
        if (nmi_i)
1020
                cpu_clk_en <= 1'b1;
1021
        else
1022
                cpu_clk_en <= clk_en;
1023
end
1024
 
1025
always @(posedge clk)
1026
if (rst_i) begin
1027
        bte_o <= 2'b00;
1028
        cti_o <= 3'b000;
1029
        bl_o <= 6'd0;
1030
        cyc_o <= 1'b0;
1031
        stb_o <= 1'b0;
1032
        we_o <= 1'b0;
1033
        sel_o <= 4'h0;
1034
        adr_o <= 34'd0;
1035
        dat_o <= 32'd0;
1036
        nmi_edge <= 1'b0;
1037
        wai <= 1'b0;
1038
        first_ifetch <= `TRUE;
1039
        wr <= 1'b0;
1040
        em <= 1'b0;
1041
        cf <= 1'b0;
1042
        ir <= 56'hEAEAEAEAEAEAEA;
1043
        imiss <= `FALSE;
1044
        dmiss <= `FALSE;
1045
        dcacheOn <= 1'b0;
1046
        icacheOn <= 1'b1;
1047 10 robfinch
        write_allocate <= 1'b0;
1048 5 robfinch
        nmoi <= 1'b1;
1049 10 robfinch
        state <= RESET1;
1050 5 robfinch
        cstate <= IDLE;
1051
        vect <= `RST_VECT;
1052
        pc <= 32'hFFFFFFF0;
1053
        bufadr <= 32'd0;
1054
        dp <= 32'd0;
1055
        clk_en <= 1'b1;
1056 10 robfinch
        isCacheReset <= `TRUE;
1057
        gie <= 1'b0;
1058 12 robfinch
        tick <= 32'd0;
1059 5 robfinch
end
1060
else begin
1061 12 robfinch
tick <= tick + 32'd1;
1062 5 robfinch
wr <= 1'b0;
1063
if (nmi_i & !nmi1)
1064
        nmi_edge <= 1'b1;
1065
if (nmi_i|nmi1)
1066
        clk_en <= 1'b1;
1067
case(state)
1068 10 robfinch
RESET1:
1069 5 robfinch
        begin
1070 10 robfinch
                adr_o <= adr_o + 32'd4;
1071
                if (adr_o[13:4]==10'h3FF) begin
1072
                        state <= RESET2;
1073
                        isCacheReset <= `FALSE;
1074
                end
1075
        end
1076
RESET2:
1077
        begin
1078 5 robfinch
                vect <= `RST_VECT;
1079
                radr <= vect[31:2];
1080
                state <= JMP_IND1;
1081
        end
1082
IFETCH:
1083
        begin
1084 10 robfinch
                if (nmi_edge & !imiss & gie) begin      // imiss indicates cache controller is active and this state is in a waiting loop
1085 5 robfinch
                        nmi_edge <= 1'b0;
1086
                        wai <= 1'b0;
1087
                        bf <= 1'b0;
1088
                        if (em & !nmoi) begin
1089
                                radr <= {24'h1,sp[7:2]};
1090
                                radr2LSB <= sp[1:0];
1091
                                wadr <= {24'h1,sp[7:2]};
1092
                                wadr2LSB <= sp[1:0];
1093
                                wdat <= {4{pc[31:24]}};
1094
                                cyc_o <= 1'b1;
1095
                                stb_o <= 1'b1;
1096
                                we_o <= 1'b1;
1097
                                case(sp[1:0])
1098
                                2'd0:   sel_o <= 4'b0001;
1099
                                2'd1:   sel_o <= 4'b0010;
1100
                                2'd2:   sel_o <= 4'b0100;
1101
                                2'd3:   sel_o <= 4'b1000;
1102
                                endcase
1103
                                adr_o <= {24'h1,sp[7:2],2'b00};
1104
                                dat_o <= {4{pc[31:24]}};
1105
                                sp <= sp_dec;
1106
                                vect <= `BYTE_NMI_VECT;
1107
                                state <= BYTE_IRQ1;
1108
                        end
1109
                        else begin
1110
                                radr <= isp_dec;
1111
                                wadr <= isp_dec;
1112
                                wdat <= pc;
1113
                                cyc_o <= 1'b1;
1114
                                stb_o <= 1'b1;
1115
                                we_o <= 1'b1;
1116
                                sel_o <= 4'hF;
1117
                                adr_o <= {isp_dec,2'b00};
1118
                                dat_o <= pc;
1119
                                vect <= `NMI_VECT;
1120
                                state <= IRQ1;
1121
                        end
1122
                end
1123 10 robfinch
                else if (irq_i && !imiss & gie) begin
1124 5 robfinch
                        if (im) begin
1125
                                wai <= 1'b0;
1126
                                if (unCachedInsn) begin
1127
                                        if (bhit) begin
1128
                                                ir <= ibuf;
1129
                                                state <= DECODE;
1130
                                        end
1131
                                        else
1132
                                                imiss <= `TRUE;
1133
                                end
1134
                                else begin
1135
                                        if (ihit) begin
1136
                                                ir <= insn;
1137
                                                state <= DECODE;
1138
                                        end
1139
                                        else
1140
                                                imiss <= `TRUE;
1141
                                end
1142
                        end
1143
                        else begin
1144
                                bf <= 1'b0;
1145
                                wai <= 1'b0;
1146
                                if (em & !nmoi) begin
1147
                                        radr <= {24'h1,sp[7:2]};
1148
                                        radr2LSB <= sp[1:0];
1149
                                        wadr <= {24'h1,sp[7:2]};
1150
                                        wadr2LSB <= sp[1:0];
1151
                                        wdat <= {4{pc[31:24]}};
1152
                                        cyc_o <= 1'b1;
1153
                                        stb_o <= 1'b1;
1154
                                        we_o <= 1'b1;
1155
                                        case(sp[1:0])
1156
                                        2'd0:   sel_o <= 4'b0001;
1157
                                        2'd1:   sel_o <= 4'b0010;
1158
                                        2'd2:   sel_o <= 4'b0100;
1159
                                        2'd3:   sel_o <= 4'b1000;
1160
                                        endcase
1161
                                        adr_o <= {24'h1,sp[7:2],2'b00};
1162
                                        dat_o <= {4{pc[31:24]}};
1163
                                        sp <= sp_dec;
1164
                                        vect <= `BYTE_IRQ_VECT;
1165
                                        state <= BYTE_IRQ1;
1166
                                end
1167
                                else begin
1168
                                        radr <= isp_dec;
1169
                                        wadr <= isp_dec;
1170
                                        wdat <= pc;
1171
                                        cyc_o <= 1'b1;
1172
                                        stb_o <= 1'b1;
1173
                                        we_o <= 1'b1;
1174
                                        sel_o <= 4'hF;
1175
                                        adr_o <= {isp_dec,2'b00};
1176
                                        dat_o <= pc;
1177
                                        vect <= `IRQ_VECT;
1178
                                        state <= IRQ1;
1179
                                end
1180
                        end
1181
                end
1182
                else if (!wai) begin
1183
                        if (unCachedInsn) begin
1184
                                if (bhit) begin
1185
                                        ir <= ibuf;
1186
                                        state <= DECODE;
1187
                                end
1188
                                else
1189
                                        imiss <= `TRUE;
1190
                        end
1191
                        else begin
1192
                                if (ihit) begin
1193
                                        ir <= insn;
1194
                                        state <= DECODE;
1195
                                end
1196
                                else
1197
                                        imiss <= `TRUE;
1198
                        end
1199
                end
1200
                if (first_ifetch) begin
1201
                        first_ifetch <= `FALSE;
1202
                        if (em) begin
1203
                                case(ir[7:0])
1204
                                `NAT:   em <= 1'b0;
1205
                                `TAY,`TXY,`DEY,`INY:    begin y[7:0] <= res8; nf <= resn8; zf <= resz8; end
1206
                                `TAX,`TYX,`TSX,`DEX,`INX:       begin x[7:0] <= res8; nf <= resn8; zf <= resz8; end
1207
                                `TSA,`TYA,`TXA,`INA,`DEA:       begin acc[7:0] <= res8; nf <= resn8; zf <= resz8; end
1208 10 robfinch
                                `TAS,`TXS: begin sp <= res8[7:0]; end
1209 5 robfinch
                                `ADC_IMM:
1210
                                        begin
1211
                                                acc[7:0] <= df ? bcaio : res8;
1212
                                                cf <= df ? bcaico : resc8;
1213
                                                vf <= resv;
1214
                                                nf <= df ? bcaio[7] : resn8;
1215
                                                zf <= df ? bcaio==8'h00 : resz8;
1216
                                        end
1217
                                `ADC_ZP,`ADC_ZPX,`ADC_IX,`ADC_IY,`ADC_ABS,`ADC_ABSX,`ADC_ABSY,`ADC_I:
1218
                                        begin
1219
                                                acc[7:0] <= df ? bcao : res8;
1220
                                                cf <= df ? bcaco : resc8;
1221
                                                vf <= resv;
1222
                                                nf <= df ? bcao[7] : resn8;
1223
                                                zf <= df ? bcao==8'h00 : resz8;
1224
                                        end
1225
                                `SBC_IMM:
1226
                                        begin
1227
                                                acc[7:0] <= df ? bcsio : res8;
1228
                                                cf <= ~(df ? bcsico : resc8);
1229
                                                vf <= resv;
1230
                                                nf <= df ? bcsio[7] : resn8;
1231
                                                zf <= df ? bcsio==8'h00 : resz8;
1232
                                        end
1233
                                `SBC_ZP,`SBC_ZPX,`SBC_IX,`SBC_IY,`SBC_ABS,`SBC_ABSX,`SBC_ABSY,`SBC_I:
1234
                                        begin
1235
                                                acc[7:0] <= df ? bcso : res8;
1236
                                                vf <= resv;
1237
                                                cf <= ~(df ? bcsco : resc8);
1238
                                                nf <= df ? bcso[7] : resn8;
1239
                                                zf <= df ? bcso==8'h00 : resz8;
1240
                                        end
1241
                                `CMP_IMM,`CMP_ZP,`CMP_ZPX,`CMP_IX,`CMP_IY,`CMP_ABS,`CMP_ABSX,`CMP_ABSY,`CMP_I,
1242
                                `CPX_IMM,`CPX_ZP,`CPX_ABS,
1243
                                `CPY_IMM,`CPY_ZP,`CPY_ABS:
1244
                                                begin cf <= ~resc8; nf <= resn8; zf <= resz8; end
1245
                                `BIT_ZP,`BIT_ABS:
1246
                                                begin nf <= resn8; vf <= res8[6]; zf <= resz8; end
1247
                                `TRB_ZP,`TRB_ABS,`TSB_ZP,`TSB_ABS:
1248
                                        begin zf <= resz8; end
1249
                                `LDA_IMM,`LDA_ZP,`LDA_ZPX,`LDA_IX,`LDA_IY,`LDA_ABS,`LDA_ABSX,`LDA_ABSY,`LDA_I,
1250
                                `AND_IMM,`AND_ZP,`AND_ZPX,`AND_IX,`AND_IY,`AND_ABS,`AND_ABSX,`AND_ABSY,`AND_I,
1251
                                `ORA_IMM,`ORA_ZP,`ORA_ZPX,`ORA_IX,`ORA_IY,`ORA_ABS,`ORA_ABSX,`ORA_ABSY,`ORA_I,
1252
                                `EOR_IMM,`EOR_ZP,`EOR_ZPX,`EOR_IX,`EOR_IY,`EOR_ABS,`EOR_ABSX,`EOR_ABSY,`EOR_I:
1253
                                        begin acc[7:0] <= res8; nf <= resn8; zf <= resz8; end
1254
                                `ASL_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
1255
                                `ROL_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
1256
                                `LSR_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
1257
                                `ROR_ACC:       begin acc[7:0] <= res8; cf <= resc8; nf <= resn8; zf <= resz8; end
1258
                                `ASL_ZP,`ASL_ZPX,`ASL_ABS,`ASL_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
1259
                                `ROL_ZP,`ROL_ZPX,`ROL_ABS,`ROL_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
1260
                                `LSR_ZP,`LSR_ZPX,`LSR_ABS,`LSR_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
1261
                                `ROR_ZP,`ROR_ZPX,`ROR_ABS,`ROR_ABSX: begin cf <= resc8; nf <= resn8; zf <= resz8; end
1262
                                `INC_ZP,`INC_ZPX,`INC_ABS,`INC_ABSX: begin nf <= resn8; zf <= resz8; end
1263
                                `DEC_ZP,`DEC_ZPX,`DEC_ABS,`DEC_ABSX: begin nf <= resn8; zf <= resz8; end
1264
                                `PLA:   begin acc[7:0] <= res8; zf <= resz8; nf <= resn8; end
1265
                                `PLX:   begin x[7:0] <= res8; zf <= resz8; nf <= resn8; end
1266
                                `PLY:   begin y[7:0] <= res8; zf <= resz8; nf <= resn8; end
1267
                                `LDX_IMM,`LDX_ZP,`LDX_ZPY,`LDX_ABS,`LDX_ABSY:   begin x[7:0] <= res8; nf <= resn8; zf <= resz8; end
1268
                                `LDY_IMM,`LDY_ZP,`LDY_ZPX,`LDY_ABS,`LDY_ABSX:   begin y[7:0] <= res8; nf <= resn8; zf <= resz8; end
1269
                                endcase
1270
                        end
1271
                        else begin
1272
                                regfile[Rt] <= res;
1273
                                case(Rt)
1274
                                4'h1:   acc <= res;
1275
                                4'h2:   x <= res;
1276
                                4'h3:   y <= res;
1277
                                default:        ;
1278
                                endcase
1279
                                case(ir[7:0])
1280
//                              `XCE:           begin cf <= em; em <= cf; end
1281
                                `EMM:   em <= 1'b1;
1282
                                `TAY,`TXY,`DEY,`INY:    begin y <= res; nf <= resn32; zf <= resz32; end
1283
                                `TAX,`TYX,`TSX,`DEX,`INX:       begin x <= res; nf <= resn32; zf <= resz32; end
1284 10 robfinch
                                `TAS,`TXS:      begin isp <= res; gie <= 1'b1; end
1285 5 robfinch
                                `TSA,`TYA,`TXA,`INA,`DEA:       begin acc <= res; nf <= resn32; zf <= resz32; end
1286
                                `TRS:
1287
                                        begin
1288
                                                case(ir[15:12])
1289
                                                4'h0:   begin
1290
                                                                $display("res=%h",res);
1291
                                                                icacheOn <= res[0];
1292
                                                                dcacheOn <= res[1];
1293 10 robfinch
                                                                write_allocate <= res[2];
1294 5 robfinch
                                                                end
1295
                                                4'h1:   dp <= res;
1296 12 robfinch
                                                4'h5:   lfsr <= res;
1297 10 robfinch
                                                4'hE:   begin sp <= res[7:0]; end
1298
                                                4'hF:   begin isp <= res; gie <= 1'b1; end
1299 5 robfinch
                                                endcase
1300
                                        end
1301 10 robfinch
                                `RR:
1302
                                        case(ir[23:20])
1303
                                        `ADD_RR:        begin vf <= resv32; cf <= resc32; nf <= resn32; zf <= resz32; end
1304
                                        `SUB_RR:
1305
                                                        if (Rt==4'h0)   // CMP doesn't set overflow
1306
                                                                begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
1307
                                                        else
1308
                                                                begin vf <= resv32; cf <= ~resc32; nf <= resn32; zf <= resz32; end
1309
                                        `AND_RR:
1310
                                                if (Rt==4'h0)   // BIT sets overflow
1311
                                                        begin nf <= resn32; vf <= res[30]; zf <= resz32; end
1312
                                                else
1313
                                                        begin nf <= resn32; zf <= resz32; end
1314
                                        `OR_RR: begin nf <= resn32; zf <= resz32; end
1315
                                        `EOR_RR:        begin nf <= resn32; zf <= resz32; end
1316
                                        `MUL_RR:        begin nf <= resn32; zf <= resz32; end
1317 12 robfinch
                                        `MULS_RR:       begin nf <= resn32; zf <= resz32; end
1318
                                        `DIV_RR:        begin nf <= resn32; zf <= resz32; end
1319
                                        `DIVS_RR:       begin nf <= resn32; zf <= resz32; end
1320
                                        `MOD_RR:        begin nf <= resn32; zf <= resz32; end
1321
                                        `MODS_RR:       begin nf <= resn32; zf <= resz32; end
1322 10 robfinch
                                        endcase
1323 12 robfinch
                                `LD_RR: begin zf <= resz32; nf <= resn32; end
1324
                                `DEC_RR,`INC_RR: begin zf <= resz32; nf <= resn32; end
1325 5 robfinch
                                `ASL_RR,`ROL_RR,`LSR_RR,`ROR_RR: begin cf <= resc32; nf <= resn32; zf <= resz32; end
1326
                                `ADD_IMM8,`ADD_IMM16,`ADD_IMM32,`ADD_ZPX,`ADD_IX,`ADD_IY,`ADD_ABS,`ADD_ABSX,`ADD_RIND:
1327
                                        begin vf <= resv32; cf <= resc32; nf <= resn32; zf <= resz32; end
1328
                                `SUB_IMM8,`SUB_IMM16,`SUB_IMM32,`SUB_ZPX,`SUB_IX,`SUB_IY,`SUB_ABS,`SUB_ABSX,`SUB_RIND:
1329
                                        if (Rt==4'h0)   // CMP doesn't set overflow
1330
                                                begin cf <= ~resc32; nf <= resn32; zf <= resz32; end
1331
                                        else
1332
                                                begin vf <= resv32; cf <= ~resc32; nf <= resn32; zf <= resz32; end
1333
                                `AND_IMM8,`AND_IMM16,`AND_IMM32,`AND_ZPX,`AND_IX,`AND_IY,`AND_ABS,`AND_ABSX,`AND_RIND:
1334
                                        if (Rt==4'h0)   // BIT sets overflow
1335
                                                begin nf <= resn32; vf <= res[30]; zf <= resz32; end
1336
                                        else
1337
                                                begin nf <= resn32; zf <= resz32; end
1338
                                `ORB_ZPX,`ORB_ABS,`ORB_ABSX,
1339
                                `OR_IMM8,`OR_IMM16,`OR_IMM32,`OR_ZPX,`OR_IX,`OR_IY,`OR_ABS,`OR_ABSX,`OR_RIND,
1340
                                `EOR_IMM8,`EOR_IMM16,`EOR_IMM32,`EOR_ZPX,`EOR_IX,`EOR_IY,`EOR_ABS,`EOR_ABSX,`EOR_RIND:
1341
                                        begin nf <= resn32; zf <= resz32; end
1342
                                `ASL_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
1343
                                `ROL_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
1344
                                `LSR_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
1345
                                `ROR_ACC:       begin acc <= res; cf <= resc32; nf <= resn32; zf <= resz32; end
1346
                                `ASL_ZPX,`ASL_ABS,`ASL_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
1347
                                `ROL_ZPX,`ROL_ABS,`ROL_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
1348
                                `LSR_ZPX,`LSR_ABS,`LSR_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
1349
                                `ROR_ZPX,`ROR_ABS,`ROR_ABSX: begin cf <= resc32; nf <= resn32; zf <= resz32; end
1350
                                `INC_ZPX,`INC_ABS,`INC_ABSX: begin nf <= resn32; zf <= resz32; end
1351
                                `DEC_ZPX,`DEC_ABS,`DEC_ABSX: begin nf <= resn32; zf <= resz32; end
1352
                                `PLA:   begin acc <= res; zf <= resz32; nf <= resn32; end
1353
                                `PLX:   begin x <= res; zf <= resz32; nf <= resn32; end
1354
                                `PLY:   begin y <= res; zf <= resz32; nf <= resn32; end
1355
                                `LDX_IMM32,`LDX_IMM16,`LDX_IMM8,`LDX_ZPY,`LDX_ABS,`LDX_ABSY:    begin x <= res; nf <= resn32; zf <= resz32; end
1356
                                `LDY_IMM32,`LDY_ZPX,`LDY_ABS,`LDY_ABSX: begin y <= res; nf <= resn32; zf <= resz32; end
1357
                                `CPX_IMM32,`CPX_ZPX,`CPX_ABS:   begin cf <= ~resc; nf <= resn32; zf <= resz32; end
1358
                                `CPY_IMM32,`CPY_ZPX,`CPY_ABS:   begin cf <= ~resc; nf <= resn32; zf <= resz32; end
1359
                                `LDA_IMM32,`LDA_IMM16,`LDA_IMM8:        begin acc <= res; nf <= resn32; zf <= resz32; end
1360
                                endcase
1361
                        end
1362
                end
1363
        end
1364
DECODE:
1365
        begin
1366
        first_ifetch <= `TRUE;
1367
        Rt <= 4'h0;             // Default
1368
        if (em) begin
1369
                state <= IFETCH;
1370
                case(ir[7:0])
1371
                `STP:   begin clk_en <= 1'b0; pc <= pc + 32'd1; end
1372
                `NAT:   pc <= pc + 32'd1;
1373
                `NOP:   pc <= pc + 32'd1;
1374
                `CLC:   begin cf <= 1'b0; pc <= pc + 32'd1; end
1375
                `SEC:   begin cf <= 1'b1; pc <= pc + 32'd1; end
1376
                `CLV:   begin vf <= 1'b0; pc <= pc + 32'd1; end
1377
                `CLI:   begin im <= 1'b0; pc <= pc + 32'd1; end
1378
                `SEI:   begin im <= 1'b1; pc <= pc + 32'd1; end
1379
                `CLD:   begin df <= 1'b0; pc <= pc + 32'd1; end
1380
                `SED:   begin df <= 1'b1; pc <= pc + 32'd1; end
1381
                `WAI:   begin wai <= 1'b1; pc <= pc + 32'd1; end
1382
                `DEX:   begin res8 <= x[7:0] - 8'd1; pc <= pc + 32'd1; end
1383
                `INX:   begin res8 <= x[7:0] + 8'd1; pc <= pc + 32'd1; end
1384
                `DEY:   begin res8 <= y[7:0] - 8'd1; pc <= pc + 32'd1; end
1385
                `INY:   begin res8 <= y[7:0] + 8'd1; pc <= pc + 32'd1; end
1386
                `DEA:   begin res8 <= acc[7:0] - 8'd1; pc <= pc + 32'd1; end
1387
                `INA:   begin res8 <= acc[7:0] + 8'd1; pc <= pc + 32'd1; end
1388
                `TSX,`TSA:      begin res8 <= sp[7:0]; pc <= pc + 32'd1; end
1389
                `TXS,`TXA,`TXY: begin res8 <= x[7:0]; pc <= pc + 32'd1; end
1390
                `TAX,`TAY,`TAS: begin res8 <= acc[7:0]; pc <= pc + 32'd1; end
1391
                `TYA,`TYX:      begin res8 <= y[7:0]; pc <= pc + 32'd1; end
1392
                `ASL_ACC:       begin res8 <= {acc8,1'b0}; pc <= pc + 32'd1; end
1393
                `ROL_ACC:       begin res8 <= {acc8,cf}; pc <= pc + 32'd1; end
1394
                `LSR_ACC:       begin res8 <= {acc8[0],1'b0,acc8[7:1]}; pc <= pc + 32'd1; end
1395
                `ROR_ACC:       begin res8 <= {acc8[0],cf,acc8[7:1]}; pc <= pc + 32'd1; end
1396
                // Handle # mode
1397
                `LDA_IMM,`LDX_IMM,`LDY_IMM:
1398
                        begin
1399
                                pc <= pc + 32'd2;
1400
                                res8 <= ir[15:8];
1401
                                state <= IFETCH;
1402
                        end
1403
                `ADC_IMM:
1404
                        begin
1405
                                pc <= pc + 32'd2;
1406
                                res8 <= acc8 + ir[15:8] + {7'b0,cf};
1407
                                b8 <= ir[15:8];         // for overflow calc
1408
                                state <= IFETCH;
1409
                        end
1410
                `SBC_IMM:
1411
                        begin
1412
                                pc <= pc + 32'd2;
1413
//                              res8 <= acc8 - ir[15:8] - ~cf;
1414
                                res8 <= acc8 - ir[15:8] - {7'b0,~cf};
1415
                                $display("sbc: %h= %h-%h-%h", acc8 - ir[15:8] - {7'b0,~cf},acc8,ir[15:8],~cf);
1416
                                b8 <= ir[15:8];         // for overflow calc
1417
                                state <= IFETCH;
1418
                        end
1419
                `AND_IMM,`BIT_IMM:
1420
                        begin
1421
                                pc <= pc + 32'd2;
1422
                                res8 <= acc8 & ir[15:8];
1423
                                state <= IFETCH;
1424
                        end
1425
                `ORA_IMM:
1426
                        begin
1427
                                pc <= pc + 32'd2;
1428
                                res8 <= acc8 | ir[15:8];
1429
                                state <= IFETCH;
1430
                        end
1431
                `EOR_IMM:
1432
                        begin
1433
                                pc <= pc + 32'd2;
1434
                                res8 <= acc8 ^ ir[15:8];
1435
                                state <= IFETCH;
1436
                        end
1437
                `CMP_IMM:
1438
                        begin
1439
                                pc <= pc + 32'd2;
1440
                                res8 <= acc8 - ir[15:8];
1441
                                state <= IFETCH;
1442
                        end
1443
                `CPX_IMM:
1444
                        begin
1445
                                pc <= pc + 32'd2;
1446
                                res8 <= x8 - ir[15:8];
1447
                                state <= IFETCH;
1448
                        end
1449
                `CPY_IMM:
1450
                        begin
1451
                                pc <= pc + 32'd2;
1452
                                res8 <= y8 - ir[15:8];
1453
                                state <= IFETCH;
1454
                        end
1455
                // Handle zp mode
1456
                `ADC_ZP,`SBC_ZP,`AND_ZP,`ORA_ZP,`EOR_ZP,`CMP_ZP,`LDA_ZP,
1457
                `LDX_ZP,`LDY_ZP,`BIT_ZP,`CPX_ZP,`CPY_ZP,
1458
                `ASL_ZP,`ROL_ZP,`LSR_ZP,`ROR_ZP,`INC_ZP,`DEC_ZP,`TRB_ZP,`TSB_ZP:
1459
                        begin
1460
                                pc <= pc + 32'd2;
1461
                                radr <= zp_address[31:2];
1462
                                radr2LSB <= zp_address[1:0];
1463
                                state <= LOAD1;
1464
                        end
1465
                `STA_ZP:
1466
                        begin
1467
                                pc <= pc + 32'd2;
1468
                                wadr <= zp_address[31:2];
1469
                                wadr2LSB <= zp_address[1:0];
1470
                                wdat <= {4{acc8}};
1471
                                state <= STORE1;
1472
                        end
1473
                `STX_ZP:
1474
                        begin
1475
                                pc <= pc + 32'd2;
1476
                                wadr <= zp_address[31:2];
1477
                                wadr2LSB <= zp_address[1:0];
1478
                                wdat <= {4{x8}};
1479
                                state <= STORE1;
1480
                        end
1481
                `STY_ZP:
1482
                        begin
1483
                                pc <= pc + 32'd2;
1484
                                wadr <= zp_address[31:2];
1485
                                wadr2LSB <= zp_address[1:0];
1486
                                wdat <= {4{y8}};
1487
                                state <= STORE1;
1488
                        end
1489
                `STZ_ZP:
1490
                        begin
1491
                                pc <= pc + 32'd2;
1492
                                wadr <= zp_address[31:2];
1493
                                wadr2LSB <= zp_address[1:0];
1494
                                wdat <= {4{8'h00}};
1495
                                state <= STORE1;
1496
                        end
1497
                // Handle zp,x mode
1498
                `ADC_ZPX,`SBC_ZPX,`AND_ZPX,`ORA_ZPX,`EOR_ZPX,`CMP_ZPX,`LDA_ZPX,
1499
                `LDY_ZPX,`BIT_ZPX,
1500
                `ASL_ZPX,`ROL_ZPX,`LSR_ZPX,`ROR_ZPX,`INC_ZPX,`DEC_ZPX:
1501
                        begin
1502
                                pc <= pc + 32'd2;
1503
                                radr <= zpx_address[31:2];
1504
                                radr2LSB <= zpx_address[1:0];
1505
                                state <= LOAD1;
1506
                        end
1507
                `STA_ZPX:
1508
                        begin
1509
                                pc <= pc + 32'd2;
1510
                                wadr <= zpx_address[31:2];
1511
                                wadr2LSB <= zpx_address[1:0];
1512
                                wdat <= {4{acc8}};
1513
                                state <= STORE1;
1514
                        end
1515
                `STY_ZPX:
1516
                        begin
1517
                                pc <= pc + 32'd2;
1518
                                wadr <= zpx_address[31:2];
1519
                                wadr2LSB <= zpx_address[1:0];
1520
                                wdat <= {4{y8}};
1521
                                state <= STORE1;
1522
                        end
1523
                `STZ_ZPX:
1524
                        begin
1525
                                pc <= pc + 32'd2;
1526
                                wadr <= zpx_address[31:2];
1527
                                wadr2LSB <= zpx_address[1:0];
1528
                                wdat <= {4{8'h00}};
1529
                                state <= STORE1;
1530
                        end
1531
                // Handle zp,y
1532
                `LDX_ZPY:
1533
                        begin
1534
                                pc <= pc + 32'd2;
1535
                                radr <= zpy_address[31:2];
1536
                                radr2LSB <= zpy_address[1:0];
1537
                                state <= LOAD1;
1538
                        end
1539
                `STX_ZPY:
1540
                        begin
1541
                                pc <= pc + 32'd2;
1542
                                wadr <= zpy_address[31:2];
1543
                                wadr2LSB <= zpy_address[1:0];
1544
                                wdat <= {4{x8}};
1545
                                state <= STORE1;
1546
                        end
1547
                // Handle (zp,x)
1548
                `ADC_IX,`SBC_IX,`AND_IX,`ORA_IX,`EOR_IX,`CMP_IX,`LDA_IX,`STA_IX:
1549
                        begin
1550
                                pc <= pc + 32'd2;
1551
                                radr <= zpx_address[31:2];
1552
                                radr2LSB <= zpx_address[1:0];
1553
                                state <= BYTE_IX1;
1554
                        end
1555
                // Handle (zp),y
1556
                `ADC_IY,`SBC_IY,`AND_IY,`ORA_IY,`EOR_IY,`CMP_IY,`LDA_IY,`STA_IY:
1557
                        begin
1558
                                pc <= pc + 32'd2;
1559
                                radr <= zp_address[31:2];
1560
                                radr2LSB <= zp_address[1:0];
1561
                                state <= BYTE_IY1;
1562
                        end
1563
                // Handle abs
1564
                `ADC_ABS,`SBC_ABS,`AND_ABS,`ORA_ABS,`EOR_ABS,`CMP_ABS,`LDA_ABS,
1565
                `ASL_ABS,`ROL_ABS,`LSR_ABS,`ROR_ABS,`INC_ABS,`DEC_ABS,`TRB_ABS,`TSB_ABS,
1566
                `LDX_ABS,`LDY_ABS,
1567
                `CPX_ABS,`CPY_ABS,
1568
                `BIT_ABS:
1569
                        begin
1570
                                pc <= pc + 32'd3;
1571 10 robfinch
                                radr <= abs_address[31:2];
1572
                                radr2LSB <= abs_address[1:0];
1573 5 robfinch
                                state <= LOAD1;
1574
                        end
1575
                `STA_ABS:
1576
                        begin
1577
                                pc <= pc + 32'd3;
1578 10 robfinch
                                wadr <= abs_address[31:2];
1579
                                wadr2LSB <= abs_address[1:0];
1580 5 robfinch
                                wdat <= {4{acc8}};
1581
                                state <= STORE1;
1582
                        end
1583
                `STX_ABS:
1584
                        begin
1585
                                pc <= pc + 32'd3;
1586 10 robfinch
                                wadr <= abs_address[31:2];
1587
                                wadr2LSB <= abs_address[1:0];
1588 5 robfinch
                                wdat <= {4{x8}};
1589
                                state <= STORE1;
1590
                        end             // Handle abs,x
1591
                `STY_ABS:
1592
                        begin
1593
                                pc <= pc + 32'd3;
1594 10 robfinch
                                wadr <= abs_address[31:2];
1595
                                wadr2LSB <= abs_address[1:0];
1596 5 robfinch
                                wdat <= {4{y8}};
1597
                                state <= STORE1;
1598
                        end
1599
                `STZ_ABS:
1600
                        begin
1601
                                pc <= pc + 32'd3;
1602 10 robfinch
                                wadr <= abs_address[31:2];
1603
                                wadr2LSB <= abs_address[1:0];
1604 5 robfinch
                                wdat <= {4{8'h00}};
1605
                                state <= STORE1;
1606
                        end
1607
                `ADC_ABSX,`SBC_ABSX,`AND_ABSX,`ORA_ABSX,`EOR_ABSX,`CMP_ABSX,`LDA_ABSX,
1608
                `ASL_ABSX,`ROL_ABSX,`LSR_ABSX,`ROR_ABSX,`INC_ABSX,`DEC_ABSX,`BIT_ABSX,
1609
                `LDY_ABSX:
1610
                        begin
1611
                                pc <= pc + 32'd3;
1612
                                radr <= absx_address[31:2];
1613
                                radr2LSB <= absx_address[1:0];
1614
                                state <= LOAD1;
1615
                        end
1616
                `STA_ABSX:
1617
                        begin
1618
                                pc <= pc + 32'd3;
1619
                                wadr <= absx_address[31:2];
1620
                                wadr2LSB <= absx_address[1:0];
1621
                                wdat <= {4{acc8}};
1622
                                state <= STORE1;
1623
                        end
1624
                `STZ_ABSX:
1625
                        begin
1626
                                pc <= pc + 32'd3;
1627
                                wadr <= absx_address[31:2];
1628
                                wadr2LSB <= absx_address[1:0];
1629
                                wdat <= {4{8'h00}};
1630
                                state <= STORE1;
1631
                        end
1632
                // Handle abs,y
1633
                `ADC_ABSY,`SBC_ABSY,`AND_ABSY,`ORA_ABSY,`EOR_ABSY,`CMP_ABSY,`LDA_ABSY,
1634
                `LDX_ABSY:
1635
                        begin
1636
                                pc <= pc + 32'd3;
1637
                                radr <= absy_address[31:2];
1638
                                radr2LSB <= absy_address[1:0];
1639
                                state <= LOAD1;
1640
                        end
1641
                `STA_ABSY:
1642
                        begin
1643
                                pc <= pc + 32'd3;
1644
                                wadr <= absy_address[31:2];
1645
                                wadr2LSB <= absy_address[1:0];
1646
                                wdat <= {4{acc8}};
1647
                                state <= STORE1;
1648
                        end
1649
                // Handle (zp)
1650
                `ADC_I,`SBC_I,`AND_I,`ORA_I,`EOR_I,`CMP_I,`LDA_I,`STA_I:
1651
                        begin
1652
                                pc <= pc + 32'd2;
1653
                                radr <= zp_address[31:2];
1654
                                radr2LSB <= zp_address[1:0];
1655
                                state <= BYTE_IX1;
1656
                        end
1657
                `BRK:
1658
                        begin
1659
                                radr <= {24'h1,sp[7:2]};
1660
                                radr2LSB <= sp[1:0];
1661
                                wadr <= {24'h1,sp[7:2]};
1662
                                wadr2LSB <= sp[1:0];
1663
                                wdat <= {4{pcp1[31:24]}};
1664
                                cyc_o <= 1'b1;
1665
                                stb_o <= 1'b1;
1666
                                we_o <= 1'b1;
1667
                                case(sp[1:0])
1668
                                2'd0:   sel_o <= 4'b0001;
1669
                                2'd1:   sel_o <= 4'b0010;
1670
                                2'd2:   sel_o <= 4'b0100;
1671
                                2'd3:   sel_o <= 4'b1000;
1672
                                endcase
1673
                                adr_o <= {24'h1,sp[7:2],2'b00};
1674
                                dat_o <= {4{pcp1[31:24]}};
1675
                                sp <= sp_dec;
1676
                                vect <= `BYTE_IRQ_VECT;
1677
                                state <= BYTE_IRQ1;
1678
                                bf <= 1'b1;
1679
                        end
1680
                `JMP:
1681
                        begin
1682 10 robfinch
                                pc[15:0] <= abs_address[15:0];
1683 5 robfinch
                                state <= IFETCH;
1684
                        end
1685
                `JML:
1686
                        begin
1687
                                pc <= ir[39:8];
1688
                                state <= IFETCH;
1689
                        end
1690
                `JMP_IND:
1691
                        begin
1692 10 robfinch
                                radr <= abs_address[31:2];
1693
                                radr2LSB <= abs_address[1:0];
1694 5 robfinch
                                state <= BYTE_JMP_IND1;
1695
                        end
1696
                `JMP_INDX:
1697
                        begin
1698 10 robfinch
                                radr <= absx_address[31:2];
1699 5 robfinch
                                radr2LSB <= absx_address[1:0];
1700
                                state <= BYTE_JMP_IND1;
1701
                        end
1702
                `JSR:
1703
                        begin
1704
                                radr <= {24'h1,sp[7:2]};
1705
                                wadr <= {24'h1,sp[7:2]};
1706
                                radr2LSB <= sp[1:0];
1707
                                wadr2LSB <= sp[1:0];
1708
                                wdat <= {4{pcp2[15:8]}};
1709
                                cyc_o <= 1'b1;
1710
                                stb_o <= 1'b1;
1711
                                we_o <= 1'b1;
1712
                                case(sp[1:0])
1713
                                2'd0:   sel_o <= 4'b0001;
1714
                                2'd1:   sel_o <= 4'b0010;
1715
                                2'd2:   sel_o <= 4'b0100;
1716
                                2'd3:   sel_o <= 4'b1000;
1717
                                endcase
1718
                                adr_o <= {24'h1,sp[7:2],2'b00};
1719
                                dat_o <= {4{pcp2[15:8]}};
1720
                                sp <= sp_dec;
1721
                                state <= BYTE_JSR1;
1722
                        end
1723
                `JSL:
1724
                        begin
1725
                                radr <= {24'h1,sp[7:2]};
1726
                                wadr <= {24'h1,sp[7:2]};
1727
                                radr2LSB <= sp[1:0];
1728
                                wadr2LSB <= sp[1:0];
1729
                                wdat <= {4{pcp4[31:24]}};
1730
                                cyc_o <= 1'b1;
1731
                                stb_o <= 1'b1;
1732
                                we_o <= 1'b1;
1733
                                case(sp[1:0])
1734
                                2'd0:   sel_o <= 4'b0001;
1735
                                2'd1:   sel_o <= 4'b0010;
1736
                                2'd2:   sel_o <= 4'b0100;
1737
                                2'd3:   sel_o <= 4'b1000;
1738
                                endcase
1739
                                adr_o <= {24'h1,sp[7:2],2'b00};
1740
                                dat_o <= {4{pcp4[31:24]}};
1741
                                sp <= sp_dec;
1742
                                state <= BYTE_JSL1;
1743
                        end
1744
                `JSR_INDX:
1745
                        begin
1746
                                radr <= {24'h1,sp[7:2]};
1747
                                wadr <= {24'h1,sp[7:2]};
1748
                                radr2LSB <= sp[1:0];
1749
                                wadr2LSB <= sp[1:0];
1750
                                wdat <= {4{pcp2[15:8]}};
1751
                                cyc_o <= 1'b1;
1752
                                stb_o <= 1'b1;
1753
                                we_o <= 1'b1;
1754
                                case(sp_dec[1:0])
1755
                                2'd0:   sel_o <= 4'b0001;
1756
                                2'd1:   sel_o <= 4'b0010;
1757
                                2'd2:   sel_o <= 4'b0100;
1758
                                2'd3:   sel_o <= 4'b1000;
1759
                                endcase
1760
                                adr_o <= {24'h1,sp[7:2],2'b00};
1761
                                dat_o <= {4{pcp2[15:8]}};
1762
                                sp <= sp_dec;
1763
                                state <= BYTE_JSR_INDX1;
1764
                        end
1765
                `RTS,`RTL:
1766
                        begin
1767
                                radr <= {24'h1,sp_inc[7:2]};
1768
                                radr2LSB <= sp_inc[1:0];
1769
                                sp <= sp_inc;
1770
                                state <= BYTE_RTS1;
1771
                        end
1772
                `RTI:   begin
1773
                                radr <= {24'h1,sp_inc[7:2]};
1774
                                radr2LSB <= sp_inc[1:0];
1775
                                sp <= sp_inc;
1776
                                state <= BYTE_RTI9;
1777
                                end
1778
                `BEQ,`BNE,`BPL,`BMI,`BCC,`BCS,`BVC,`BVS,`BRA:
1779
                        begin
1780
                                state <= IFETCH;
1781
//                              if (ir[15:8]==8'hFE) begin
1782
//                                      radr <= {24'h1,sp[7:2]};
1783
//                                      radr2LSB <= sp[1:0];
1784
//                                      wadr <= {24'h1,sp[7:2]};
1785
//                                      wadr2LSB <= sp[1:0];
1786
//                                      case(sp[1:0])
1787
//                                      2'd0:   sel_o <= 4'b0001;
1788
//                                      2'd1:   sel_o <= 4'b0010;
1789
//                                      2'd2:   sel_o <= 4'b0100;
1790
//                                      2'd3:   sel_o <= 4'b1000;
1791
//                                      endcase
1792
//                                      wdat <= {4{pcp2[31:24]}};
1793
//                                      cyc_o <= 1'b1;
1794
//                                      stb_o <= 1'b1;
1795
//                                      we_o <= 1'b1;
1796
//                                      adr_o <= {24'h1,sp[7:2],2'b00};
1797
//                                      dat_o <= {4{pcp2[31:24]}};
1798
//                                      vect <= `SLP_VECT;
1799
//                                      state <= BYTE_IRQ1;
1800
//                              end
1801
//                              else
1802
                                if (ir[15:8]==8'hFF) begin
1803
                                        if (takb)
1804
                                                pc <= pc + {{16{ir[31]}},ir[31:16]};
1805
                                        else
1806
                                                pc <= pc + 32'd4;
1807
                                end
1808
                                else begin
1809
                                        if (takb)
1810
                                                pc <= pc + {{24{ir[15]}},ir[15:8]} + 32'd2;
1811
                                        else
1812
                                                pc <= pc + 32'd2;
1813
                                end
1814
                        end
1815
                `PHP:
1816
                        begin
1817
                                cyc_o <= 1'b1;
1818
                                stb_o <= 1'b1;
1819
                                we_o <= 1'b1;
1820
                                radr <= {24'h1,sp[7:2]};
1821
                                radr2LSB <= sp[1:0];
1822
                                wadr <= {24'h1,sp[7:2]};
1823
                                wadr2LSB <= sp[1:0];
1824
                                case(sp[1:0])
1825
                                2'd0:   sel_o <= 4'b0001;
1826
                                2'd1:   sel_o <= 4'b0010;
1827
                                2'd2:   sel_o <= 4'b0100;
1828
                                2'd3:   sel_o <= 4'b1000;
1829
                                endcase
1830
                                adr_o <= {24'h1,sp[7:2],2'b00};
1831
                                dat_o <= {4{sr8}};
1832
                                wdat <= {4{sr8}};
1833
                                sp <= sp_dec;
1834
                                state <= PHP1;
1835
                        end
1836
                `PHA:
1837
                        begin
1838
                                cyc_o <= 1'b1;
1839
                                stb_o <= 1'b1;
1840
                                we_o <= 1'b1;
1841
                                radr <= {24'h1,sp[7:2]};
1842
                                radr2LSB <= sp[1:0];
1843
                                wadr <= {24'h1,sp[7:2]};
1844
                                wadr2LSB <= sp[1:0];
1845
                                case(sp[1:0])
1846
                                2'd0:   sel_o <= 4'b0001;
1847
                                2'd1:   sel_o <= 4'b0010;
1848
                                2'd2:   sel_o <= 4'b0100;
1849
                                2'd3:   sel_o <= 4'b1000;
1850
                                endcase
1851
                                adr_o <= {24'h1,sp[7:2],2'b00};
1852
                                dat_o <= {4{acc8}};
1853
                                wdat <= {4{acc8}};
1854
                                sp <= sp_dec;
1855
                                state <= PHP1;
1856
                        end
1857
                `PHX:
1858
                        begin
1859
                                cyc_o <= 1'b1;
1860
                                stb_o <= 1'b1;
1861
                                we_o <= 1'b1;
1862
                                radr <= {24'h1,sp[7:2]};
1863
                                radr2LSB <= sp[1:0];
1864
                                wadr <= {24'h1,sp[7:2]};
1865
                                wadr2LSB <= sp[1:0];
1866
                                case(sp[1:0])
1867
                                2'd0:   sel_o <= 4'b0001;
1868
                                2'd1:   sel_o <= 4'b0010;
1869
                                2'd2:   sel_o <= 4'b0100;
1870
                                2'd3:   sel_o <= 4'b1000;
1871
                                endcase
1872
                                adr_o <= {24'h1,sp[7:2],2'b00};
1873
                                dat_o <= {4{x8}};
1874
                                wdat <= {4{x8}};
1875
                                sp <= sp_dec;
1876
                                state <= PHP1;
1877
                        end
1878
                `PHY:
1879
                        begin
1880
                                cyc_o <= 1'b1;
1881
                                stb_o <= 1'b1;
1882
                                we_o <= 1'b1;
1883
                                radr <= {24'h1,sp[7:2]};
1884
                                radr2LSB <= sp[1:0];
1885
                                wadr <= {24'h1,sp[7:2]};
1886
                                wadr2LSB <= sp[1:0];
1887
                                case(sp[1:0])
1888
                                2'd0:   sel_o <= 4'b0001;
1889
                                2'd1:   sel_o <= 4'b0010;
1890
                                2'd2:   sel_o <= 4'b0100;
1891
                                2'd3:   sel_o <= 4'b1000;
1892
                                endcase
1893
                                adr_o <= {24'h1,sp[7:2],2'b00};
1894
                                dat_o <= {4{y8}};
1895
                                wdat <= {4{y8}};
1896
                                sp <= sp_dec;
1897
                                state <= PHP1;
1898
                        end
1899
                `PLP:
1900
                        begin
1901
                                radr <= {24'h1,sp_inc[7:2]};
1902
                                radr2LSB <= sp_inc[1:0];
1903
                                sp <= sp_inc;
1904
                                state <= BYTE_PLP1;
1905
                                pc <= pc + 32'd1;
1906
                        end
1907
                `PLA,`PLX,`PLY:
1908
                        begin
1909
                                radr <= {24'h1,sp_inc[7:2]};
1910
                                radr2LSB <= sp_inc[1:0];
1911
                                sp <= sp_inc;
1912
                                state <= PLA1;
1913
                                pc <= pc + 32'd1;
1914
                        end
1915
                default:        // unimplemented opcode
1916
                        pc <= pc + 32'd1;
1917
                endcase
1918
        end
1919
        else begin
1920
                state <= IFETCH;
1921
                case(ir[7:0])
1922
                `STP:   begin clk_en <= 1'b0; pc <= pc + 32'd1; end
1923
                `NOP:   begin pc <= pc + 32'd1; end
1924
                `CLC:   begin cf <= 1'b0; pc <= pc + 32'd1; end
1925
                `SEC:   begin cf <= 1'b1; pc <= pc + 32'd1; end
1926
                `CLV:   begin vf <= 1'b0; pc <= pc + 32'd1; end
1927
                `CLI:   begin im <= 1'b0; pc <= pc + 32'd1; end
1928
                `CLD:   begin df <= 1'b0; pc <= pc + 32'd1; end
1929
                `SED:   begin df <= 1'b1; pc <= pc + 32'd1; end
1930
                `SEI:   begin im <= 1'b1; pc <= pc + 32'd1; end
1931
                `WAI:   begin wai <= 1'b1; pc <= pc + 32'd1; end
1932
                `EMM:   begin pc <= pc + 32'd1; end
1933
                `DEX:   begin res <= x - 32'd1; pc <= pc + 32'd1; end
1934
                `INX:   begin res <= x + 32'd1; pc <= pc + 32'd1; end
1935
                `DEY:   begin res <= y - 32'd1; pc <= pc + 32'd1; end
1936
                `INY:   begin res <= y + 32'd1; pc <= pc + 32'd1; end
1937
                `DEA:   begin res <= acc - 32'd1; pc <= pc + 32'd1; end
1938
                `INA:   begin res <= acc + 32'd1; pc <= pc + 32'd1; end
1939
                `TSX:   begin res <= isp; pc <= pc + 32'd1; end
1940
                `TXS,`TXA,`TXY: begin res <= x; pc <= pc + 32'd1; end
1941
                `TAX,`TAY,`TAS: begin res <= acc; pc <= pc + 32'd1; end
1942
                `TYA,`TYX:      begin res <= y; pc <= pc + 32'd1; end
1943
                `TRS:           begin
1944
                                                res <= rfoa; pc <= pc + 32'd2; end
1945
                `TSR:           begin
1946
                                                Rt <= ir[15:12];
1947
                                                case(ir[11:8])
1948 10 robfinch
                                                4'h0:   res <= {write_allocate,dcacheOn,icacheOn};
1949 5 robfinch
                                                4'h1:   res <= dp;
1950
                                                4'h2:   res <= prod[31:0];
1951
                                                4'h3:   res <= prod[63:32];
1952 12 robfinch
                                                4'h4:   res <= tick;
1953
                                                4'h5:   begin res <= lfsr; lfsr <= {lfsr[30:0],lfsr_fb}; end
1954 5 robfinch
                                                4'hE:   res <= sp;
1955
                                                4'hF:   res <= isp;
1956
                                                endcase
1957
                                                pc <= pc + 32'd2;
1958
                                        end
1959
                `ASL_ACC:       begin res <= {acc,1'b0}; pc <= pc + 32'd1; end
1960
                `ROL_ACC:       begin res <= {acc,cf}; pc <= pc + 32'd1; end
1961
                `LSR_ACC:       begin res <= {acc[0],1'b0,acc[31:1]}; pc <= pc + 32'd1; end
1962
                `ROR_ACC:       begin res <= {acc[0],cf,acc[31:1]}; pc <= pc + 32'd1; end
1963
 
1964
                `RR:
1965
                        begin
1966 12 robfinch
                                state <= IFETCH;
1967 10 robfinch
                                case(ir[23:20])
1968
                                `ADD_RR:        res <= rfoa + rfob;
1969
                                `SUB_RR:        res <= rfoa - rfob;
1970
                                `AND_RR:        res <= rfoa & rfob;
1971
                                `OR_RR:         res <= rfoa | rfob;
1972
                                `EOR_RR:        res <= rfoa ^ rfob;
1973 12 robfinch
                                `MUL_RR:        begin state <= MULDIV1; end
1974
                                `MULS_RR:       begin state <= MULDIV1; end
1975
                                `DIV_RR:        begin state <= MULDIV1; end
1976
                                `DIVS_RR:       begin state <= MULDIV1; end
1977
                                `MOD_RR:        begin state <= MULDIV1; end
1978
                                `MODS_RR:       begin state <= MULDIV1; end
1979 10 robfinch
                                endcase
1980 5 robfinch
                                Rt <= ir[19:16];
1981
                                pc <= pc + 32'd3;
1982
                        end
1983 12 robfinch
                `LD_RR:         begin res <= rfoa; Rt <= ir[15:12]; pc <= pc + 32'd2; end
1984 10 robfinch
                `ASL_RR:        begin res <= {rfoa,1'b0}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
1985
                `ROL_RR:        begin res <= {rfoa,cf}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
1986
                `LSR_RR:        begin res <= {rfoa[0],1'b0,rfoa[31:1]}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
1987
                `ROR_RR:        begin res <= {rfoa[0],cf,rfoa[31:1]}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
1988 12 robfinch
                `DEC_RR:        begin res <= rfoa - 32'd1; pc <= pc + 32'd2; Rt <= ir[15:12]; end
1989
                `INC_RR:        begin res <= rfoa + 32'd1; pc <= pc + 32'd2; Rt <= ir[15:12]; end
1990 10 robfinch
 
1991
                `ADD_IMM8:      begin res <= rfoa + {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; end
1992
                `SUB_IMM8:      begin res <= rfoa - {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; end
1993
                `OR_IMM8:       begin res <= rfoa | {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; end
1994
                `AND_IMM8:      begin res <= rfoa & {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; end
1995
                `EOR_IMM8:      begin res <= rfoa ^ {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; end
1996
 
1997
                `ADD_IMM16:     begin res <= rfoa + {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; end
1998
                `SUB_IMM16:     begin res <= rfoa - {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; end
1999
                `OR_IMM16:      begin res <= rfoa | {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; end
2000
                `AND_IMM16:     begin res <= rfoa & {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; end
2001
                `EOR_IMM16:     begin res <= rfoa ^ {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; end
2002
 
2003
                `ADD_IMM32:     begin res <= rfoa + ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; end
2004
                `SUB_IMM32:     begin res <= rfoa - ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; end
2005
                `OR_IMM32:      begin res <= rfoa | ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; end
2006
                `AND_IMM32:     begin res <= rfoa & ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; end
2007
                `EOR_IMM32:     begin res <= rfoa ^ ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; end
2008
 
2009
                `LDX_IMM32,`LDY_IMM32,`LDA_IMM32:       begin res <= ir[39:8]; pc <= pc + 32'd5; end
2010
                `LDX_IMM16,`LDA_IMM16:  begin res <= {{16{ir[23]}},ir[23:8]}; pc <= pc + 32'd3; end
2011
                `LDX_IMM8,`LDA_IMM8: begin res <= {{24{ir[15]}},ir[15:8]}; pc <= pc + 32'd2; end
2012
 
2013 5 robfinch
                `LDX_ZPX,`LDY_ZPX:
2014
                        begin
2015
                                radr <= zpx32xy_address;
2016
                                pc <= pc + 32'd3;
2017
                                state <= LOAD1;
2018
                        end
2019
                `ORB_ZPX:
2020
                        begin
2021
                                a <= rfoa;
2022
                                Rt <= ir[19:16];
2023
                                radr <= zpx32_address[31:2];
2024
                                radr2LSB <= zpx32_address[1:0];
2025
                                pc <= pc + 32'd4;
2026
                                state <= LOAD1;
2027
                        end
2028
                `LDX_ABS,`LDY_ABS:
2029
                        begin
2030
                                radr <= ir[39:8];
2031
                                pc <= pc + 32'd5;
2032
                                state <= LOAD1;
2033
                        end
2034
                `ORB_ABS:
2035
                        begin
2036
                                a <= rfoa;
2037
                                Rt <= ir[15:12];
2038
                                radr <= ir[47:18];
2039
                                radr2LSB <= ir[17:16];
2040
                                pc <= pc + 32'd6;
2041
                                state <= LOAD1;
2042
                        end
2043
                `LDX_ABSY,`LDY_ABSX:
2044
                        begin
2045
                                radr <= absx32xy_address;
2046
                                pc <= pc + 32'd6;
2047
                                state <= LOAD1;
2048
                        end
2049
                `ORB_ABSX:
2050
                        begin
2051
                                a <= rfoa;
2052
                                Rt <= ir[19:16];
2053
                                radr <= absx32_address[31:2];
2054
                                radr2LSB <= absx32_address[1:0];
2055
                                pc <= pc + 32'd7;
2056
                                state <= LOAD1;
2057
                        end
2058
                `ST_ZPX:
2059
                        begin
2060
                                wadr <= zpx32_address;
2061
                                wdat <= rfoa;
2062
                                pc <= pc + 32'd4;
2063
                                state <= STORE1;
2064
                        end
2065
                `STB_ZPX:
2066
                        begin
2067
                                wadr <= zpx32_address[31:2];
2068
                                wadr2LSB <= zpx32_address[1:0];
2069
                                pc <= pc + 32'd4;
2070
                                state <= STORE1;
2071
                        end
2072
                `ST_ABS:
2073
                        begin
2074
                                wadr <= ir[47:16];
2075
                                wdat <= rfoa;
2076
                                pc <= pc + 32'd6;
2077
                                state <= STORE1;
2078
                        end
2079
                `STB_ABS:
2080
                        begin
2081
                                wadr <= ir[47:18];
2082
                                wadr2LSB <= ir[17:16];
2083
                                wdat <= {4{rfoa[7:0]}};
2084
                                pc <= pc + 32'd6;
2085
                                state <= STORE1;
2086
                        end
2087
                `ST_ABSX:
2088
                        begin
2089
                                wadr <= absx32_address;
2090
                                wdat <= rfoa;
2091
                                pc <= pc + 32'd7;
2092
                                state <= STORE1;
2093
                        end
2094
                `STB_ABSX:
2095
                        begin
2096
                                wadr <= absx32_address[31:2];
2097
                                wadr2LSB <= absx32_address[1:0];
2098
                                wdat <= {4{rfoa[7:0]}};
2099
                                pc <= pc + 32'd7;
2100
                                state <= STORE1;
2101
                        end
2102
                `STX_ZPX:
2103
                        begin
2104
                                wadr <= dp + ir[23:12] + rfoa;
2105
                                wdat <= x;
2106
                                pc <= pc + 32'd3;
2107
                                state <= STORE1;
2108
                        end
2109
                `STX_ABS:
2110
                        begin
2111
                                wadr <= ir[39:8];
2112
                                wdat <= x;
2113
                                pc <= pc + 32'd5;
2114
                                state <= STORE1;
2115
                        end
2116
                `STY_ZPX:
2117
                        begin
2118
                                wadr <= dp + ir[23:12] + rfoa;
2119
                                wdat <= y;
2120
                                pc <= pc + 32'd3;
2121
                                state <= STORE1;
2122
                        end
2123
                `STY_ABS:
2124
                        begin
2125
                                wadr <= ir[39:8];
2126
                                wdat <= y;
2127
                                pc <= pc + 32'd5;
2128
                                state <= STORE1;
2129
                        end
2130
                `ADD_ZPX,`SUB_ZPX,`OR_ZPX,`AND_ZPX,`EOR_ZPX:
2131
                        begin
2132
                                a <= rfoa;
2133
                                Rt <= ir[19:16];
2134
                                radr <= zpx32_address;
2135
                                pc <= pc + 32'd4;
2136
                                state <= LOAD1;
2137
                        end
2138
                `ASL_ZPX,`ROL_ZPX,`LSR_ZPX,`ROR_ZPX,`INC_ZPX,`DEC_ZPX:
2139
                        begin
2140
                                radr <= dp + rfoa + ir[23:12];
2141
                                pc <= pc + 32'd3;
2142
                                state <= LOAD1;
2143
                        end
2144
                `ADD_IX,`SUB_IX,`OR_IX,`AND_IX,`EOR_IX,`ST_IX:
2145
                        begin
2146
                                a <= rfoa;
2147
                                if (ir[7:0]==`ST_IX)
2148
                                        res <= rfoa;            // for ST_IX, Rt=0
2149
                                else
2150
                                        Rt <= ir[19:16];
2151
                                pc <= pc + 32'd4;
2152
                                radr <= dp + ir[31:20] + rfob;
2153
                                state <= IX1;
2154
                        end
2155
                `ADD_RIND,`SUB_RIND,`OR_RIND,`AND_RIND,`EOR_RIND,`ST_RIND:
2156
                        begin
2157 10 robfinch
                                radr <= rfob;
2158
                                wadr <= rfob;           // for store
2159
                                wdat <= rfoa;
2160 5 robfinch
                                a <= rfoa;
2161
                                if (ir[7:0]==`ST_RIND) begin
2162
                                        res <= rfoa;            // for ST_IX, Rt=0
2163
                                        pc <= pc + 32'd2;
2164 10 robfinch
                                        state <= STORE1;
2165 5 robfinch
                                end
2166
                                else begin
2167
                                        Rt <= ir[19:16];
2168
                                        pc <= pc + 32'd3;
2169 10 robfinch
                                        state <= LOAD1;
2170 5 robfinch
                                end
2171
                        end
2172
                `ADD_IY,`SUB_IY,`OR_IY,`AND_IY,`EOR_IY,`ST_IY:
2173
                        begin
2174
                                a <= rfoa;
2175
                                if (ir[7:0]==`ST_IY)
2176
                                        res <= rfoa;            // for ST_IY, Rt=0
2177
                                else
2178
                                        Rt <= ir[19:16];
2179
                                pc <= pc + 32'd4;
2180
                                radr <= dp + ir[31:20];
2181
                                state <= IY1;
2182
                        end
2183
                `ADD_ABS,`SUB_ABS,`OR_ABS,`AND_ABS,`EOR_ABS:
2184
                        begin
2185
                                a <= rfoa;
2186
                                radr <= ir[47:16];
2187
                                Rt <= ir[15:12];
2188
                                pc <= pc + 32'd6;
2189
                                state <= LOAD1;
2190
                        end
2191
                `ASL_ABS,`ROL_ABS,`LSR_ABS,`ROR_ABS,`INC_ABS,`DEC_ABS:
2192
                        begin
2193
                                radr <= ir[39:8];
2194
                                pc <= pc + 32'd5;
2195
                                state <= LOAD1;
2196
                        end
2197
                `ADD_ABSX,`SUB_ABSX,`OR_ABSX,`AND_ABSX,`EOR_ABSX:
2198
                        begin
2199
                                a <= rfoa;
2200
                                radr <= ir[55:24] + rfob;
2201
                                Rt <= ir[19:16];
2202
                                pc <= pc + 32'd7;
2203
                                state <= LOAD1;
2204
                        end
2205
                `ASL_ABSX,`ROL_ABSX,`LSR_ABSX,`ROR_ABSX,`INC_ABSX,`DEC_ABSX:
2206
                        begin
2207
                                radr <= ir[47:16] + rfob;
2208
                                pc <= pc + 32'd6;
2209
                                state <= LOAD1;
2210
                        end
2211
                `CPX_IMM32:
2212
                        begin
2213
                                res <= x - ir[39:8];
2214
                                pc <= pc + 32'd5;
2215
                                state <= IFETCH;
2216
                        end
2217
                `CPY_IMM32:
2218
                        begin
2219
                                res <= y - ir[39:8];
2220
                                pc <= pc + 32'd5;
2221
                                state <= IFETCH;
2222
                        end
2223
                `CPX_ZPX:
2224
                        begin
2225
                                radr <= dp + ir[23:12] + rfoa;
2226
                                pc <= pc + 32'd3;
2227
                                state <= LOAD1;
2228
                        end
2229
                `CPY_ZPX:
2230
                        begin
2231
                                radr <= dp + ir[23:12] + rfoa;
2232
                                pc <= pc + 32'd3;
2233
                                state <= LOAD1;
2234
                        end
2235
                `CPX_ABS:
2236
                        begin
2237
                                radr <= ir[39:8];
2238
                                pc <= pc + 32'd5;
2239
                                state <= LOAD1;
2240
                        end
2241
                `CPY_ABS:
2242
                        begin
2243
                                radr <= ir[39:8];
2244
                                pc <= pc + 32'd5;
2245
                                state <= LOAD1;
2246
                        end
2247
                `BRK:
2248
                        begin
2249
                                bf <= 1'b1;
2250
                                radr <= isp - 32'd1;
2251
                                wadr <= isp - 32'd1;
2252
                                wdat <= pc + 32'd1;
2253
                                cyc_o <= 1'b1;
2254
                                stb_o <= 1'b1;
2255
                                we_o <= 1'b1;
2256
                                sel_o <= 4'hF;
2257
                                adr_o <= {isp_dec,2'b00};
2258
                                dat_o <= pc + 32'd1;
2259
                                vect <= `BRK_VECT;
2260
                                state <= IRQ1;
2261
                        end
2262
                `JMP:
2263
                        begin
2264
                                pc[15:0] <= ir[23:8];
2265
                                state <= IFETCH;
2266
                        end
2267
                `JML:
2268
                        begin
2269
                                pc <= ir[39:8];
2270
                                state <= IFETCH;
2271
                        end
2272
                `JMP_IND:
2273
                        begin
2274
                                radr <= ir[39:8];
2275
                                state <= JMP_IND1;
2276
                        end
2277
                `JMP_INDX:
2278
                        begin
2279
                                radr <= ir[39:8] + x;
2280
                                state <= JMP_IND1;
2281
                        end
2282
                `JMP_RIND:
2283
                        begin
2284
                                pc <= rfoa;
2285
                                res <= pc + 32'd2;
2286
                                Rt <= ir[15:12];
2287
                                state <= IFETCH;
2288
                        end
2289
                `JSR:
2290
                        begin
2291
                                radr <= isp_dec;
2292
                                wadr <= isp_dec;
2293
                                wdat <= pc + 32'd3;
2294
                                cyc_o <= 1'b1;
2295
                                stb_o <= 1'b1;
2296
                                we_o <= 1'b1;
2297
                                sel_o <= 4'hF;
2298
                                adr_o <= {isp_dec,2'b00};
2299
                                dat_o <= pc + 32'd3;
2300
                                vect <= {pc[31:16],ir[23:8]};
2301
                                state <= JSR1;
2302
                        end
2303
                `JSR_RIND:
2304
                        begin
2305
                                radr <= isp_dec;
2306
                                wadr <= isp_dec;
2307
                                wdat <= pc + 32'd2;
2308
                                cyc_o <= 1'b1;
2309
                                stb_o <= 1'b1;
2310
                                we_o <= 1'b1;
2311
                                sel_o <= 4'hF;
2312
                                adr_o <= {isp_dec,2'b00};
2313
                                dat_o <= pc + 32'd2;
2314
                                vect <= rfoa;
2315
                                state <= JSR1;
2316
                                $stop;
2317
                        end
2318
                `JSL:
2319
                        begin
2320
                                radr <= isp_dec;
2321
                                wadr <= isp_dec;
2322
                                wdat <= pc + 32'd5;
2323
                                cyc_o <= 1'b1;
2324
                                stb_o <= 1'b1;
2325
                                we_o <= 1'b1;
2326
                                sel_o <= 4'hF;
2327
                                adr_o <= {isp_dec,2'b00};
2328
                                dat_o <= pc + 32'd5;
2329
                                vect <= ir[39:8];
2330
                                state <= JSR1;
2331
                        end
2332
                `BSR:
2333
                        begin
2334
                                radr <= isp_dec;
2335
                                wadr <= isp_dec;
2336
                                wdat <= pc + 32'd3;
2337
                                cyc_o <= 1'b1;
2338
                                stb_o <= 1'b1;
2339
                                we_o <= 1'b1;
2340
                                sel_o <= 4'hF;
2341
                                adr_o <= {isp_dec,2'b00};
2342
                                dat_o <= pc + 32'd3;
2343
                                vect <= pc + {{16{ir[23]}},ir[23:8]};
2344
                                state <= JSR1;
2345
                        end
2346
                `JSR_INDX:
2347
                        begin
2348
                                radr <= isp - 32'd1;
2349
                                wadr <= isp - 32'd1;
2350
                                wdat <= pc + 32'd5;
2351
                                cyc_o <= 1'b1;
2352
                                stb_o <= 1'b1;
2353
                                we_o <= 1'b1;
2354
                                sel_o <= 4'hF;
2355
                                adr_o <= {isp-32'd1,2'b00};
2356
                                dat_o <= pc + 32'd5;
2357
                                state <= JSR_INDX1;
2358
                        end
2359
//              `JSR16:
2360
//                      begin
2361
//                              radr <= isp - 32'd1;
2362
//                              wadr <= isp - 32'd1;
2363
//                              wdat <= pc + 32'd3;
2364
//                              cyc_o <= 1'b1;
2365
//                              stb_o <= 1'b1;
2366
//                              we_o <= 1'b1;
2367
//                              sel_o <= 4'hF;
2368
//                              adr_o <= {isp-32'd1,2'b00};
2369
//                              dat_o <= pc + 32'd3;
2370
//                              state <= JSR161;
2371
//                      end
2372
                `RTS,`RTL:
2373
                                begin
2374
                                radr <= isp;
2375
                                state <= RTS1;
2376
                                end
2377
                `RTI:   begin
2378
                                radr <= isp;
2379
                                state <= RTI1;
2380
                                end
2381
                `BEQ,`BNE,`BPL,`BMI,`BCC,`BCS,`BVC,`BVS,`BRA:
2382
                        begin
2383
                                state <= IFETCH;
2384
                                if (ir[15:8]==8'h00) begin
2385
                                        radr <= isp_dec;
2386
                                        wadr <= isp_dec;
2387
                                        wdat <= pc + 32'd2;
2388
                                        cyc_o <= 1'b1;
2389
                                        stb_o <= 1'b1;
2390
                                        we_o <= 1'b1;
2391
                                        sel_o <= 4'hF;
2392
                                        adr_o <= {isp_dec,2'b00};
2393
                                        dat_o <= pc + 32'd2;
2394
                                        vect <= `SLP_VECT;
2395
                                        state <= IRQ1;
2396
                                end
2397
                                else if (ir[15:8]==8'h1) begin
2398
                                        if (takb)
2399
                                                pc <= pc + {{16{ir[31]}},ir[31:16]};
2400
                                        else
2401
                                                pc <= pc + 32'd4;
2402
                                end
2403
                                else begin
2404
                                        if (takb)
2405
                                                pc <= pc + {{24{ir[15]}},ir[15:8]};
2406
                                        else
2407
                                                pc <= pc + 32'd2;
2408
                                end
2409
                        end
2410 10 robfinch
/*              `BEQ_RR:
2411
                        begin
2412
                                state <= IFETCH;
2413
                                if (ir[23:16]==8'h00) begin
2414
                                        radr <= isp_dec;
2415
                                        wadr <= isp_dec;
2416
                                        wdat <= pc + 32'd2;
2417
                                        cyc_o <= 1'b1;
2418
                                        stb_o <= 1'b1;
2419
                                        we_o <= 1'b1;
2420
                                        sel_o <= 4'hF;
2421
                                        adr_o <= {isp_dec,2'b00};
2422
                                        dat_o <= pc + 32'd2;
2423
                                        vect <= `SLP_VECT;
2424
                                        state <= IRQ1;
2425
                                end
2426
                                else if (ir[23:16]==8'h1) begin
2427
                                        if (rfoa==rfob)
2428
                                                pc <= pc + {{16{ir[39]}},ir[39:24]};
2429
                                        else
2430
                                                pc <= pc + 32'd5;
2431
                                end
2432
                                else begin
2433
                                        if (takb)
2434
                                                pc <= pc + {{24{ir[23]}},ir[23:16]};
2435
                                        else
2436
                                                pc <= pc + 32'd3;
2437
                                end
2438
                        end*/
2439 5 robfinch
                `BRL:
2440
                        begin
2441
                                if (ir[23:8]==16'h0000) begin
2442
                                        radr <= isp_dec;
2443
                                        wadr <= isp_dec;
2444
                                        wdat <= pc + 32'd3;
2445
                                        cyc_o <= 1'b1;
2446
                                        stb_o <= 1'b1;
2447
                                        we_o <= 1'b1;
2448
                                        sel_o <= 4'hF;
2449
                                        adr_o <= {isp_dec,2'b00};
2450
                                        dat_o <= pc + 32'd3;
2451
                                        vect <= `SLP_VECT;
2452
                                        state <= IRQ1;
2453
                                end
2454
                                else begin
2455
                                        pc <= pc + {{16{ir[23]}},ir[23:8]};
2456
                                        state <= IFETCH;
2457
                                end
2458
                        end
2459
                `PHP:
2460
                        begin
2461
                                cyc_o <= 1'b1;
2462
                                stb_o <= 1'b1;
2463
                                sel_o <= 4'hF;
2464
                                we_o <= 1'b1;
2465
                                radr <= isp_dec;
2466
                                wadr <= isp_dec;
2467
                                wdat <= sr;
2468
                                adr_o <= {isp_dec,2'b00};
2469
                                dat_o <= sr;
2470
                                isp <= isp_dec;
2471
                                state <= PHP1;
2472
                        end
2473
                `PHA:
2474
                        begin
2475
                                cyc_o <= 1'b1;
2476
                                stb_o <= 1'b1;
2477
                                sel_o <= 4'hF;
2478
                                we_o <= 1'b1;
2479
                                radr <= isp_dec;
2480
                                wadr <= isp_dec;
2481
                                wdat <= acc;
2482
                                adr_o <= {isp_dec,2'b00};
2483
                                dat_o <= acc;
2484
                                isp <= isp_dec;
2485
                                state <= PHP1;
2486
                        end
2487
                `PHX:
2488
                        begin
2489
                                cyc_o <= 1'b1;
2490
                                stb_o <= 1'b1;
2491
                                sel_o <= 4'hF;
2492
                                we_o <= 1'b1;
2493
                                radr <= isp_dec;
2494
                                wadr <= isp_dec;
2495
                                wdat <= x;
2496
                                adr_o <= {isp_dec,2'b00};
2497
                                dat_o <= x;
2498
                                isp <= isp_dec;
2499
                                state <= PHP1;
2500
                        end
2501
                `PHY:
2502
                        begin
2503
                                cyc_o <= 1'b1;
2504
                                stb_o <= 1'b1;
2505
                                sel_o <= 4'hF;
2506
                                we_o <= 1'b1;
2507
                                radr <= isp_dec;
2508
                                wadr <= isp_dec;
2509
                                wdat <= y;
2510
                                adr_o <= {isp_dec,2'b00};
2511
                                dat_o <= y;
2512
                                isp <= isp_dec;
2513
                                state <= PHP1;
2514
                        end
2515
                `PUSH:
2516
                        begin
2517
                                cyc_o <= 1'b1;
2518
                                stb_o <= 1'b1;
2519
                                sel_o <= 4'hF;
2520
                                we_o <= 1'b1;
2521
                                radr <= isp_dec;
2522
                                wadr <= isp_dec;
2523
                                wdat <= rfoa;
2524
                                adr_o <= {isp_dec,2'b00};
2525
                                dat_o <= rfoa;
2526
                                state <= PHP1;
2527
                                isp <= isp_dec;
2528
                                pc <= pc + 32'd1;
2529
                        end
2530
                `PLP:
2531
                        begin
2532
                                radr <= isp;
2533
                                state <= PLP1;
2534
                                pc <= pc + 32'd1;
2535
                        end
2536
                `PLA,`PLX,`PLY:
2537
                        begin
2538
                                radr <= isp;
2539
                                isp <= isp_inc;
2540
                                state <= PLA1;
2541
                                pc <= pc + 32'd1;
2542
                        end
2543
                `POP:
2544
                        begin
2545
                                Rt <= ir[15:12];
2546
                                radr <= isp;
2547
                                isp <= isp_inc;
2548
                                state <= PLA1;
2549
                                pc <= pc + 32'd2;
2550
                        end
2551
                default:        // unimplemented opcode
2552
                        pc <= pc + 32'd1;
2553
                endcase
2554
                end
2555
        end
2556
 
2557
// Stores always write through to memory, then optionally update the cache if
2558
// there was a write hit.
2559
STORE1:
2560
        begin
2561
                cyc_o <= 1'b1;
2562
                stb_o <= 1'b1;
2563
                we_o <= 1'b1;
2564
                if (em || isStb)
2565
                        case(wadr2LSB)
2566
                        2'd0:   sel_o <= 4'b0001;
2567
                        2'd1:   sel_o <= 4'b0010;
2568
                        2'd2:   sel_o <= 4'b0100;
2569
                        2'd3:   sel_o <= 4'b1000;
2570
                        endcase
2571
                else
2572
                        sel_o <= 4'hf;
2573
                adr_o <= {wadr,2'b00};
2574
                dat_o <= wdat;
2575
                radr <= wadr;           // Do a cache read to test the hit
2576
                state <= STORE2;
2577
        end
2578
 
2579
// Terminal state for stores. Update the data cache if there was a cache hit.
2580
// Clear any previously set lock status
2581
STORE2:
2582
        if (ack_i) begin
2583 10 robfinch
                state <= IFETCH;
2584 5 robfinch
                lock_o <= 1'b0;
2585
                cyc_o <= 1'b0;
2586
                stb_o <= 1'b0;
2587
                we_o <= 1'b0;
2588
                sel_o <= 4'h0;
2589
                adr_o <= 34'h0;
2590
                dat_o <= 32'h0;
2591
                if (dhit) begin
2592
                        wrsel <= sel_o;
2593
                        wr <= 1'b1;
2594
                end
2595 10 robfinch
                else if (write_allocate) begin
2596
                        dmiss <= `TRUE;
2597
                        state <= WAIT_DHIT;
2598
                        retstate <= IFETCH;
2599
                end
2600 5 robfinch
        end
2601 10 robfinch
WAIT_DHIT:
2602
        if (dhit)
2603
                state <= retstate;
2604 5 robfinch
 
2605
`include "byte_ix.v"
2606
`include "byte_iy.v"
2607
 
2608
// Indirect and indirect X addressing mode eg. LDA ($12,x) : (zp)
2609
IX1:
2610
        if (unCachedData) begin
2611
                cyc_o <= 1'b1;
2612
                stb_o <= 1'b1;
2613
                sel_o <= 4'hf;
2614
                adr_o <= {radr,2'b00};
2615
                state <= IX2;
2616
        end
2617
        else if (dhit) begin
2618
                radr <= rdat;
2619
                state <= IX3;
2620
        end
2621
        else
2622
                dmiss <= `TRUE;
2623
IX2:
2624
        if (ack_i) begin
2625
                cyc_o <= 1'b0;
2626
                stb_o <= 1'b0;
2627
                sel_o <= 4'h0;
2628
                adr_o <= 34'h0;
2629
                radr <= dat_i;
2630
                state <= IX3;
2631
        end
2632
IX3:
2633
        if (ir[7:0]==`ST_IX || ir[7:0]==`ST_RIND) begin
2634
                wadr <= radr;
2635
                wdat <= rfoa;
2636
                state <= STORE1;
2637
        end
2638
        else if (unCachedData) begin
2639
                cyc_o <= 1'b1;
2640
                stb_o <= 1'b1;
2641
                sel_o <= 4'hf;
2642
                adr_o <= {radr,2'b00};
2643
                state <= IX4;
2644
        end
2645
        else if (dhit) begin
2646
                b <= rdat;
2647
                state <= CALC;
2648
        end
2649
        else
2650
                dmiss <= `TRUE;
2651
IX4:
2652
        if (ack_i) begin
2653
                cyc_o <= 1'b0;
2654
                stb_o <= 1'b0;
2655
                sel_o <= 4'h0;
2656
                adr_o <= 34'h0;
2657
                b <= dat_i;
2658
                state <= CALC;
2659
        end
2660
 
2661
 
2662
// Indirect Y addressing mode eg. LDA ($12),y
2663
IY1:
2664
        if (unCachedData) begin
2665
                cyc_o <= 1'b1;
2666
                stb_o <= 1'b1;
2667
                sel_o <= 4'hf;
2668
                adr_o <= {radr,2'b00};
2669
                state <= IY2;
2670
        end
2671
        else if (dhit) begin
2672
                radr <= rdat;
2673
                state <= IY3;
2674
        end
2675
        else
2676
                dmiss <= `TRUE;
2677
IY2:
2678
        if (ack_i) begin
2679
                cyc_o <= 1'b0;
2680
                stb_o <= 1'b0;
2681
                sel_o <= 4'h0;
2682
                adr_o <= 34'h0;
2683
                radr <= dat_i;
2684
                state <= IY3;
2685
        end
2686
IY3:
2687
        begin
2688
                radr <= radr + y;
2689
                wadr <= radr + y;
2690
                wdat <= rfoa;
2691
                if (ir==`ST_IY)
2692
                        state <= STORE1;
2693
                else
2694
                        state <= LOAD1;
2695
        end
2696
 
2697
// Performs the data fetch for both eight bit and 32 bit modes
2698
// Handle the following address modes: zp : zp,Rn : abs : abs,Rn
2699
LOAD1:
2700
        if (unCachedData) begin
2701
                if (isRMW)
2702
                        lock_o <= 1'b1;
2703
                cyc_o <= 1'b1;
2704
                stb_o <= 1'b1;
2705
                sel_o <= 4'hf;
2706
                adr_o <= {radr,2'b00};
2707
                state <= LOAD2;
2708
        end
2709
        else if (dhit) begin
2710
                b8 <= rdat8;
2711
                b <= rdat;
2712
                state <= CALC;
2713
        end
2714
        else
2715
                dmiss <= `TRUE;
2716
LOAD2:
2717
        if (ack_i) begin
2718
                cyc_o <= 1'b0;
2719
                stb_o <= 1'b0;
2720
                sel_o <= 4'h0;
2721
                adr_o <= 34'd0;
2722
                b8 <= dati;
2723
                b <= dat_i;
2724
                state <= CALC;
2725
        end
2726
 
2727
`include "calc.v"
2728
 
2729
JSR1:
2730
        if (ack_i) begin
2731 10 robfinch
                state <= IFETCH;
2732
                retstate <= IFETCH;
2733 5 robfinch
                cyc_o <= 1'b0;
2734
                stb_o <= 1'b0;
2735
                we_o <= 1'b0;
2736
                sel_o <= 4'h0;
2737
                adr_o <= 34'd0;
2738
                dat_o <= 32'd0;
2739
                pc <= vect;
2740
                isp <= isp_dec;
2741
                if (dhit) begin
2742
                        wrsel <= sel_o;
2743
                        wr <= 1'b1;
2744
                end
2745 10 robfinch
                else if (write_allocate) begin
2746
                        state <= WAIT_DHIT;
2747
                        dmiss <= `TRUE;
2748
                end
2749 5 robfinch
        end
2750
 
2751
`include "byte_jsr.v"
2752 10 robfinch
`include "byte_jsl.v"
2753 5 robfinch
 
2754
JSR_INDX1:
2755
        if (ack_i) begin
2756 10 robfinch
                state <= JMP_IND1;
2757
                retstate <= JMP_IND1;
2758 5 robfinch
                cyc_o <= 1'b0;
2759
                stb_o <= 1'b0;
2760
                we_o <= 1'b0;
2761
                sel_o <= 4'h0;
2762
                adr_o <= 34'd0;
2763
                dat_o <= 32'd0;
2764
                radr <= ir[39:8] + x;
2765
                isp <= isp_dec;
2766
                if (dhit) begin
2767
                        wrsel <= sel_o;
2768
                        wr <= 1'b1;
2769
                end
2770 10 robfinch
                else if (write_allocate) begin
2771
                        dmiss <= `TRUE;
2772
                        state <= WAIT_DHIT;
2773
                end
2774 5 robfinch
        end
2775
BYTE_JSR_INDX1:
2776
        if (ack_i) begin
2777 10 robfinch
                state <= BYTE_JSR_INDX2;
2778
                retstate <= BYTE_JSR_INDX2;
2779 5 robfinch
                cyc_o <= 1'b0;
2780
                stb_o <= 1'b0;
2781
                we_o <= 1'b0;
2782
                sel_o <= 4'h0;
2783
                if (dhit) begin
2784
                        wrsel <= sel_o;
2785
                        wr <= 1'b1;
2786
                end
2787 10 robfinch
                else if (write_allocate) begin
2788
                        state <= WAIT_DHIT;
2789
                        dmiss <= `TRUE;
2790
                end
2791 5 robfinch
        end
2792
BYTE_JSR_INDX2:
2793
        begin
2794
                radr <= {24'h1,sp[7:2]};
2795
                wadr <= {24'h1,sp[7:2]};
2796
                radr2LSB <= sp[1:0];
2797
                wadr2LSB <= sp[1:0];
2798
                wdat <= {4{pcp2[7:0]}};
2799
                cyc_o <= 1'b1;
2800
                stb_o <= 1'b1;
2801
                we_o <= 1'b1;
2802
                case(sp[1:0])
2803
                2'd0:   sel_o <= 4'b0001;
2804
                2'd1:   sel_o <= 4'b0010;
2805
                2'd2:   sel_o <= 4'b0100;
2806
                2'd3:   sel_o <= 4'b1000;
2807
                endcase
2808
                adr_o <= {24'h1,sp[7:2],2'b00};
2809
                dat_o <= {4{pcp2[7:0]}};
2810
                sp <= sp_dec;
2811
                state <= BYTE_JSR_INDX3;
2812
        end
2813
BYTE_JSR_INDX3:
2814
        if (ack_i) begin
2815 10 robfinch
                state <= BYTE_JMP_IND1;
2816
                retstate <= BYTE_JMP_IND1;
2817 5 robfinch
                cyc_o <= 1'b0;
2818
                stb_o <= 1'b0;
2819
                we_o <= 1'b0;
2820
                sel_o <= 4'h0;
2821
                adr_o <= 34'd0;
2822
                dat_o <= 32'd0;
2823
                radr <= absx_address[15:2];
2824
                radr2LSB <= absx_address[1:0];
2825
                if (dhit) begin
2826
                        wrsel <= sel_o;
2827
                        wr <= 1'b1;
2828
                end
2829 10 robfinch
                else if (write_allocate) begin
2830
                        state <= WAIT_DHIT;
2831
                        dmiss <= `TRUE;
2832
                end
2833 5 robfinch
        end
2834
JSR161:
2835
        if (ack_i) begin
2836 10 robfinch
                state <= IFETCH;
2837
                retstate <= IFETCH;
2838 5 robfinch
                cyc_o <= 1'b0;
2839
                stb_o <= 1'b0;
2840
                we_o <= 1'b0;
2841
                sel_o <= 4'h0;
2842
                pc <= {{16{ir[23]}},ir[23:8]};
2843
                isp <= isp_dec;
2844
                if (dhit) begin
2845
                        wrsel <= sel_o;
2846
                        wr <= 1'b1;
2847
                end
2848 10 robfinch
                else if (write_allocate) begin
2849
                        state <= WAIT_DHIT;
2850
                        dmiss <= `TRUE;
2851
                end
2852 5 robfinch
        end
2853
 
2854
`include "byte_plp.v"
2855
`include "byte_rts.v"
2856
`include "byte_rti.v"
2857
`include "rti.v"
2858
`include "rts.v"
2859
 
2860
PHP1:
2861
        if (ack_i) begin
2862 10 robfinch
                state <= IFETCH;
2863
                retstate <= IFETCH;
2864 5 robfinch
                cyc_o <= 1'b0;
2865
                stb_o <= 1'b0;
2866
                we_o <= 1'b0;
2867
                sel_o <= 4'h0;
2868
                adr_o <= 34'd0;
2869
                dat_o <= 32'd0;
2870
                pc <= pc + 32'd1;
2871
                if (dhit) begin
2872
                        wr <= 1'b1;
2873
                        wrsel <= sel_o;
2874
                end
2875 10 robfinch
                else if (write_allocate) begin
2876
                        state <= WAIT_DHIT;
2877
                        dmiss <= `TRUE;
2878
                end
2879 5 robfinch
        end
2880
`include "plp.v"
2881
`include "pla.v"
2882
 
2883
`include "byte_irq.v"
2884
`include "byte_jmp_ind.v"
2885
 
2886
IRQ1:
2887
        if (ack_i) begin
2888 10 robfinch
                state <= IRQ2;
2889
                retstate <= IRQ2;
2890 5 robfinch
                cyc_o <= 1'b0;
2891
                stb_o <= 1'b0;
2892
                we_o <= 1'b0;
2893
                sel_o <= 4'h0;
2894
                isp <= isp_dec;
2895
                if (dhit) begin
2896
                        wrsel <= sel_o;
2897
                        wr <= 1'b1;
2898
                end
2899 10 robfinch
                else if (write_allocate) begin
2900
                        state <= WAIT_DHIT;
2901
                        dmiss <= `TRUE;
2902
                end
2903 5 robfinch
        end
2904
IRQ2:
2905
        begin
2906
                cyc_o <= 1'b1;
2907
                stb_o <= 1'b1;
2908
                we_o <= 1'b1;
2909
                sel_o <= 4'hF;
2910
                radr <= isp_dec;
2911
                wadr <= isp_dec;
2912
                wdat <= sr;
2913
                adr_o <= {isp_dec,2'b00};
2914
                dat_o <= sr;
2915
                state <= IRQ3;
2916
        end
2917
IRQ3:
2918
        if (ack_i) begin
2919 10 robfinch
                state <= JMP_IND1;
2920
                retstate <= JMP_IND1;
2921 5 robfinch
                cyc_o <= 1'b0;
2922
                stb_o <= 1'b0;
2923
                we_o <= 1'b0;
2924
                sel_o <= 4'h0;
2925
                isp <= isp_dec;
2926
                if (dhit) begin
2927
                        wrsel <= sel_o;
2928
                        wr <= 1'b1;
2929
                end
2930 10 robfinch
                else if (write_allocate) begin
2931
                        dmiss <= `TRUE;
2932
                        state <= WAIT_DHIT;
2933
                end
2934 5 robfinch
                radr <= vect[31:2];
2935
                if (!bf)
2936
                        im <= 1'b1;
2937
                em <= 1'b0;                     // make sure we process in native mode; we might have been called up during emulation mode
2938
        end
2939
JMP_IND1:
2940
        if (unCachedData) begin
2941
                cyc_o <= 1'b1;
2942
                stb_o <= 1'b1;
2943
                sel_o <= 4'hF;
2944
                adr_o <= {radr,2'b00};
2945
                state <= JMP_IND2;
2946
        end
2947
        else if (dhit) begin
2948
                pc <= rdat;
2949
                state <= IFETCH;
2950
        end
2951
        else
2952
                dmiss <= `TRUE;
2953
JMP_IND2:
2954
        if (ack_i) begin
2955
                cyc_o <= 1'b0;
2956
                stb_o <= 1'b0;
2957
                sel_o <= 4'h0;
2958
                adr_o <= 34'd0;
2959
                pc <= dat_i;
2960
                state <= IFETCH;
2961
        end
2962 12 robfinch
MULDIV1:
2963
        state <= MULDIV2;
2964
MULDIV2:
2965
        if (md_done) begin
2966
                state <= IFETCH;
2967
                case(ir[23:20])
2968
                `MUL_RR:        begin res <= prod[31:0]; end
2969
                `MULS_RR:       begin res <= prod[31:0]; end
2970
                `DIV_RR:        begin res <= q; end
2971
                `DIVS_RR:       begin res <= q; end
2972
                `MOD_RR:        begin res <= r; end
2973
                `MODS_RR:       begin res <= r; end
2974
                endcase
2975
        end
2976
 
2977 5 robfinch
endcase
2978
 
2979
`include "cache_controller.v"
2980
 
2981
end
2982
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.